Open Access Paper
5 October 2023 Front Matter: Volume 12802
Proceedings Volume 12802, 38th European Mask and Lithography Conference (EMLC 2023); 1280201 (2023) https://doi.org/10.1117/12.3009920
Event: 38th European Mask and Lithography Conference, 2023, Dresden, Germany
Abstract
This PDF file contains the front matter associated with SPIE Proceedings Volume 12802, including the Title Page, Copyright information, Table of Contents, and Conference Committee information.

The papers in this volume were part of the technical conference cited on the cover and title page. Papers were selected and subject to review by the editors and conference program committee. Some conference presentations may not be available for publication. Additional papers and presentation recordings may be available online in the SPIE Digital Library at SPIEDigitalLibrary.org.

The papers reflect the work and thoughts of the authors and are published herein as submitted. The publisher is not responsible for the validity of the information or for any outcomes resulting from reliance thereon.

Please use the following format to cite material from these proceedings:

Author(s), “Title of Paper,” in 38th European Mask and Lithography Conference (EMLC 2023), edited by Uwe F. Behringer, Jo Finders, Proc. of SPIE 12802, Seven-digit Article CID Number (DD/MM/YYYY); (DOI URL).

ISSN: 0277-786X

ISSN: 1996-756X (electronic)

ISBN: 9781510668607

ISBN: 9781510668614 (electronic)

Published by

SPIE

P.O. Box 10, Bellingham, Washington 98227-0010 USA

Telephone +1 360 676 3290 (Pacific Time)

SPIE.org

Copyright © 2023 Society of Photo-Optical Instrumentation Engineers (SPIE).

Copying of material in this book for internal or personal use, or for the internal or personal use of specific clients, beyond the fair use provisions granted by the U.S. Copyright Law is authorized by SPIE subject to payment of fees. To obtain permission to use and share articles in this volume, visit Copyright Clearance Center at copyright.com. Other copying for republication, resale, advertising or promotion, or any form of systematic or multiple reproduction of any material in this book is prohibited except with permission in writing from the publisher.

Printed in the United States of America by Curran Associates, Inc., under license from SPIE.

Publication of record for individual papers is online in the SPIE Digital Library.

00289_PSISDG12802_1280201_page_2_1.jpg

Paper Numbering: A unique citation identifier (CID) number is assigned to each article in the Proceedings of SPIE at the time of publication. Utilization of CIDs allows articles to be fully citable as soon as they are published online, and connects the same identifier to all online and print versions of the publication. SPIE uses a seven-digit CID article numbering system structured as follows:

  • The first five digits correspond to the SPIE volume number.

  • The last two digits indicate publication order within the volume using a Base 36 numbering system employing both numerals and letters. These two-number sets start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B … 0Z, followed by 10-1Z, 20-2Z, etc. The CID Number appears on each page of the manuscript.

Conference Committee

Conference Chairs

  • Uwe Behringer, UBC Microelectronics (Germany)

  • Jo Finders, ASML Netherlands B.V. (Netherlands)

Conference Co-chairs

  • Brid Connolly, Toppan Photomasks GmbH (Germany)

  • Chris Gale, Applied Materials GmbH (Germany)

  • Naoya Hayashi, Dai Nippon Printing Co., Ltd. (Japan)

Program Chairs

  • Ines Stolberg, Vistec Electron Beam GmbH (Germany)

  • Andreas Erdmann, Fraunhofer-Institut für Integrierte Systeme und Bauelementetechnologie IISB (Germany)

  • Hans Loeschner, IMS Nanofabrication (Austria)

Program Co-chairs

  • Jan Hendrik Peters, bmbg Consult (Germany)

  • Daniel Sarlette, Infineon Technologies Dresden GmbH (Germany)

Additional Program Committee Members

  • Frank E. Abboud, Intel Corporation (United States)

  • René Born, Photronics, Inc. (United States)

  • Albrecht Ehrmann, Carl Zeiss SMT GmbH (Germany)

  • Dave Farar, HOYA (United Kingdom)

  • Reinhard Galler, EQUIcon Software GmbH Jena (Germany)

  • Bertrand Le Gratiet, STMicroelectronics S.A. (France)

  • Harry J. Levinson, HJL Lithography (United States)

  • Michael Muehlberger, PROFACTOR GmbH (Austria)

  • Nico Noack, Advanced Mask Technology Center GmbH Company KG (Germany)

  • Laurent Pain, CEA-LETI (France)

  • Kurt Ronse, imec (Belgium)

  • Serap Savari, Texas A&M University (United States)

  • Thomas Franz Karl Scheruebl, Carl Zeiss SMS Ltd. (Germany)

  • Ronald Schnabel, VDE/VDI-GMM (Germany)

  • Steffen Schulze, SIEMENS (United States)

  • Rolf Seltmann, Carl Zeiss SMT GmbH (Germany)

  • Yoshitake Shushuke, NuFlare Technology (Japan)

  • Frank Sundermann, STMicroelectronics (France)

  • Raluca Tiron, CEA-LETI (France)

  • Martin Tschinkl, Toppan Photomasks GmbH (Germany)

  • Jacques Waelpoel, ASML Netherlands B.V. (Netherlands)

  • Stefan Wurm, ATICE LLC (United States)

  • Larry Zurbrick, Keysight Technologies (United States)

Session Chairs

  • 1 Tutorial on EUV Lithography

    Albrecht Ehrmann, Carl Zeiss SMT GmbH (Germany)

    Hans Loeschner, IMS Nanofabrication (Austria)

  • 2 Student Presentations

    Andreas Erdmann, Fraunhofer IISB (Germany)

    Laurent Pain, CEA-LETI (France)

  • 3 1st Plenary

    Ines Stolberg, Visted Electron Beam (Germany)

    Stefan Wurm, ATICE LLC (United States)

  • 4 DUV and EUV Lithography

    Jo Finders, ASML (Netherlands)

    Albrecht Ehrmann, Carl Zeiss SMT GmbH (Germany)

  • 5 Mask Patterning and Processing

    Frank E. Abboud, INTEL (United States)

    Martin Tschinkl, Toppan Photomasks (Germany)

  • 6 Mask and Resist Optimization

    Kurt Ronse, IMEC (Belgium)

    Andreas Erdmann, Fraunhofer IISB (Germany)

  • 7 Poster Session

    René Born, Photronics MZB (Germany)

    Uwe Behringer, UBC Microelectronics (Germany)

  • 8 2nd Plenary

    Naoy Hayashi, DNP (Japan)

    Daniel Sarlette, INFINEON (Germany)

  • 9 Maskless Lithography and Metrology

    Jan Hendrik Peters, bmbg Consult (Germany)

    Rolf Seltmann, RS litho consult (Germany)

  • 10 Mask Metrology, Tuning and Inspection

    Thomas Franz Karl Scheruebl, Carl Zeiss SMT (Germany)

    Nico Noack, AMTC (Germany)

  • 11 Nano-Imprint Lithography (NIL)

    Rolf Seltmann, RS litho consult (Germany)

    Michael Muehlberger, Profactor (Austria)

  • 12 Data Analytics

    Bertrand Le Gratiet, STMicroelectronics (France)

    Reinhard Galler, EQUIcon (Germany)

© (2023) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
"Front Matter: Volume 12802", Proc. SPIE 12802, 38th European Mask and Lithography Conference (EMLC 2023), 1280201 (5 October 2023); https://doi.org/10.1117/12.3009920
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
Back to Top