Presentation
30 April 2023 MBMW-301: a revolutionary step for multi-beam mask writers
Christof Klein, Hans Loeschner, Elmar Platzgummer
Author Affiliations +
Abstract
Since the initial introduction of the MBMW multi-beam mask writer tool series in 2016, multi-beam technology has continuously improved and matured. Especially for EUV masks multi-beam has become the standard solution due to its superior write performance at mid&low sensitivity resists and its ability to pattern even the most complex curvilinear structures at maximum throughput. In 2023, after the release of evolutionary improvements with MBMW-201 in 2019 and MBMW-261 in 2022, IMS is releasing its third multi-beam tool generation, MBMW-301, to high volume production. MBMW-301 features revolutionary improvements and supports the extension of shrinking into the Angstrom aera. In this paper the performance of MBMW-301 and its advantages over previous multi-beam generations will be discussed.
Conference Presentation
© (2023) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Christof Klein, Hans Loeschner, and Elmar Platzgummer "MBMW-301: a revolutionary step for multi-beam mask writers", Proc. SPIE PC12497, Novel Patterning Technologies 2023, PC1249707 (30 April 2023); https://doi.org/10.1117/12.2661123
Advertisement
Advertisement
KEYWORDS
Extreme ultraviolet

Standards development

Back to Top