Presentation
22 November 2023 MBMW-301: paving the way towards the angstrom era of mask writing lithography
Author Affiliations +
Abstract
Since IMS Nanofabrication introduced the MBMW series of multi-beam mask-writers in 2016, multi-beam technology has continued to improve and mature. Since then, multi-beam has increasingly become the standard solution for leading-edge mask patterning due to its superior writing performance on medium and low sensitivity resists, as well as its capability to pattern even the most complex curvilinear structures at maximum throughput - especially for EUV masks. Following the release of evolutionary improvements with the MBMW-201 in 2019 and the MBMW-261 in 2022, IMS Nanofabrication releases its third multi-beam tool-generation in 2023: the MBMW-301 – a tool that is aimed at the 2nm-node and High-NA EUV mask production. This presentation provides an overview of the key features of IMS Nanofabrication's latest generation of multi-beam mask-writers and discloses recent write performance results.
Conference Presentation
© (2023) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Christoph Spengler, Christof Klein, Hans Loeschner, and Elmar Platzgummer "MBMW-301: paving the way towards the angstrom era of mask writing lithography", Proc. SPIE PC12751, Photomask Technology 2023, PC127510R (22 November 2023); https://doi.org/10.1117/12.2688204
Advertisement
Advertisement
KEYWORDS
Lithography

Nanofabrication

Extreme ultraviolet

Optical lithography

Standards development

Back to Top