PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
This PDF file contains the front matter associated with SPIE Proceedings Volume 11517, including the Title Page, Copyright information, and Table of Contents.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Plenary Session: Joint session with conferences 11517 and 11518
The convergence of 5G, artificial intelligence and billions of connected devices will start a new wave of innovation, bringing advanced computing power to massive amounts of data. This is the era of the Artificial Intelligence of Things. The key enabler continues to be affordable scaling, driven by advanced lithography, computational capabilities, fast metrology and inspection. In his keynote, ASML President and CTO Martin van den Brink will look at the key developments across ASML’s holistic product portfolio.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Keynote Session: Joint Session with conferences 11517 and 11518
In 2019 we have seen the first 7 nm logic devices, manufactured on ASML NXE:3400 scanners, hitting the market. In this paper we will give an update on the performance improvements to further optimize these systems for High Volume Manufacturing (HVM), related to the lithographic performance, productivity and uptime.
We will also demonstrate that for the 5 nm logic node and 10nm-class DRAM, excellent overlay, focus, and critical dimension (CD) control have been realized. In combination with intrinsic tool stability and holistic control schemes, including (resist and tool) performance improvements addressing stochastics issues, this provides the required performance for HVM for these nodes.
Finally we will discuss the ASML roadmap for meeting the requirements for the 3 nm node and beyond.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
EUV Mask I: Joint Session with conferences 11517 and 11518
Novel mask absorber designs are calling attention of the EUVL community due to their ability to mitigate mask 3D effects. Material selection is part of such optimization [1]. In this paper we propose several candidates as novel EUV lithography mask absorbers, namely TaTeN, Ru-Ta and Pt-Mo alloys.
The choice of these materials is based on their theoretical performance evaluated by EUV imaging simulation based on their complex refractive index N(λ) = n(λ) +ik(λ), where the optical constants n and k relate to the phase velocity and to the absorption of an electromagnetic radiation with a wavelength λ, respectively. The materials are deposited as thin films on Si substrate with an additional Ru layer to mimic the cap of multilayer mirror (MLM) on the real mask. The experimental n and k values are determined by analyzing EUV reflectivity data obtained using a 13.5 nm synchrotron EUV radiation. The imaging simulation presented in this paper consists of calculation of several imaging metrics like non-telecentricity, normalized image log-slop (NILS), and threshold-to-size for specific use cases using the novel absorber. It also compares the proposed materials to the reference TaBN absorber. TaTeN shows higher absorption than TaBN and refraction closer to 1, which improves phase matching for a high k absorber. The refractive index of Ru-Ta and Pt-Mo alloys exhibits a large difference to that of air and provides the required phased shift of attenuated phase shift masks [2].
The characterizations of these materials target the requirements of an EUVL mask: durability for mask cleaning, mask lifetime and etchablity for mask patterning. The stability is first tested against several standard mask cleaning solutions by beaker test up to 24 hours with the film structure monitored by X-ray reflectivity analysis. The samples are also exposed to hydrogen plasma to imitate the working environment in a EUV scanner. Material integrity is checked with Rutherford backscattering spectroscopy before and after the exposure. Concerning material patterning, chemical reactive ion etch is applied for preliminary tests. A proper etch recipe is found for TaTeN with good etch rate (about 60 nm/min) and good selectivity to Ru underlayer (Ru etch is ignorable).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
With growing interest in EUV attenuated phase shift masks due to their superior image quality for applications such as dense contact and pillar arrays, it is becoming critical to model, measure, and monitor the relative intensity and phase of multilayer and absorber reflections. We present a solution based on physical modeling of reflectometry data, which is capable of achieving single picometer phase precision. During repeated reflectometry measurements we observed a systematic change in absorber reflectivity which we attribute to the growth of a carbon film from 44-156pm, causing a change in the relative phase of 0.3°. This represents sensitivity to changes in the average film thickness to well below one atomic monolayer. After separating out systematic drift from random noise, we estimate our precision to be 3σ = 0.1°, corresponding to 3-4pm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We investigate the impact of key ion-beam-deposition (IBD) process conditions on the properties of Mo/Si multilayers as reflective coatings for Extreme Ultraviolet (EUV) mask blanks. Dark-field TEM measurements imply interfacial roughness values of 80-90 picometers. Bright-field TEM measurements indicate intermixed layer thicknesses of 0.5 – 1.9nm. We present reflectivity calculations including these two multilayer imperfections and reveal that roughness at this level has insignificant reflectivity impact. However, this level of intermixing could cause a reflectivity drop of ~ 4%. Ion bombardment simulations provide estimates of the atom energy distribution arriving at the mask blank surface during Mo and Si deposition, and of stopping depths of each atom into the underlying layer. Key parameters to modify the deposition energy, and potentially the intermixing depth, are summarized: beam voltage and deposition pressure. Lower ion beam voltage or higher pressure are both predicted to reduce the intermixing depth by 20-30%. Bright-field TEM measurements of multilayers deposited at various deposition conditions confirm the predictions.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
EUV Mask Protection and Repair: Joint Session with conferences 11517 and 11518
A new process was developed to manufacture large size (up to 300 x 300 mm) free-standing nanoparticle films with areal densities as low as 0.2 μg/cm2 (2x of a graphene monolayer). For this process, a variety of nanoparticles with high aspect ratio can be used i.e. single-walled carbon nanotubes (SWCNTs), double-walled carbon nanotubes (DWCNT) and multi-walled carbon nanotubes (MWCNT) or even non-carbon-based materials such as boron nitride nanotubes (BNNT). The precursor material can be purified prior-fabrication of the film greatly reducing the concentration of contaminants such as catalyst particles (< 0.5 wt.%). DWCNT films made through this method have shown an EUV (13.5 nm) transparency higher than 98% with scattering of only 0.2% and practically zero reflectance. Due to a high surface area to volume ratio, these films show strong adhesion force (higher than the film tensile strength) to virtually any substrate allowing it to instantaneously adhere to a silicon-based border without any special adhesive or surface treatment. This facilitates the mounting of these films on standard pellicle borders. CNT films showed a deflection of only 0.05 mm under pumping down at a rate of 3.5 mbar/s, indicating good mechanical stability for EUV pellicle applications. Also, LINTEC has developed a technology to conformally coat CNT films which can greatly increase its resistance against hydrogen radicals/ions etching.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Molybdenum disilicide (MoSi2) pellicle membrane was fabricated and its optical/thermal properties were compared with Ru-capped pellicle and SiNx pellicle. EUV reflectance was measured through EUV Coherent Scattering Microscope, and thermal stability was evaluated using 355nm laser source emulating EUV exposure condition. As a result, it was confirmed that MoSi2 pellicle showed sufficiently lower reflectance and comparable thermal durability under 300 W EUV matched source power compares with the Ru-capped SiNx pellicle. Further study is now underway to improve emissivity and mechanical stability.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Extreme ultraviolet (EUV) pellicle, a thin (approximately few nanometers in scale) protective membrane, dominates the defectivity control for protecting the EUV mask from airborne debris. The EUV mask equipped with pellicle is typically stored within a EUV inner pod (EIP) until use. However, such pellicle is easily deformed due to its structural weakness, the risk of thermal stress and so on, thereby altering its transmission as well as impacting the yield of EUV fabrication. In this paper, we present a novel investigation approach via both a chromatic confocal sensor and a conductance tester to address the above issue through incorporating with Gudeng Precision Industrial Co., Ltd. A load-deflection membrane model based on Timoshenko beam theory and minimum energy method was applied to evaluate the residual stress of EUV pellicle. During the pump/vent cycle (from atmospheric pressure to 5 Pa and vice versa), the activity of ASML EUV pellicle inspired the nature breathing manner, was deflected from -275 μm (minimum deflection) to +200 μm (maximum deflection). A pellicle deflection of approximately +100 μm (toward EUV mask the front side) was present during all vacuum steady states (i.e. closing pump at 5 Pa). Furthermore, the 5th ASML pellicle was verified to be 5.56 times stronger mechanically than the previous 4th pellicle from our experiment. Taken together, the proposed approach has been successfully demonstrated to enable in-situ and real-time examination of EUV pellicle mechanics within EIP in vacuum, which should be amenable for worldwide EUV mask cores.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Background: Stochastic defects are becoming major concern in the future extreme ultraviolet (EUV) lithography and are thought to be originated from random physical/chemical events in resist films. Aim: We clarify factors and mechanisms influencing the frequency distributions of these random events and their impact on defect probabilities and lithographic performances. Approach: We analyze the statistical information extracted from our previously introduced fully-coupled Monte Carlo simulation including discrete photon, photoelectron scattering, and resist stochastics. Results: Contrasts in solubility flipping probability can be enhanced through the following two mechanisms to suppress defect generations; the multi-photon effect where we need multiple photons to flip solubility of a polymer/molecule, and the multi-solubility-flipped-particle effect where we need multiple solubility-flipped polymers/molecules to flip solubility of resist film at a local spot. Defect generations are suppressed by increasing the numbers of contributing photons and SFVs. Conclusions: Stochasticity in lithography processes is characterized by presently discussed frequency distributions and previously discussed spatial distributions of those events. Stochastic defects generate when tails of the above frequency distribution appear spatially non-uniformly due to localization, cascade, and clusters of correlated reactions.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The performance of previous generation material (ZER02#1) were reported at EUVL symposium in 2019. Despite the good performance, the stability issue at development process and the incompatibility of special organic solvents with customer’s Fab requirements led to be difficult its further developments and scaling up. Therefore, Zeon developed a novel ZER02#3 resist by changing monomers and polymer properties in order to keep the EUV adsorption at the same level of ZER02#1 and introduce common organic solvents as developer.
The lithographic performance of dense Line-Space (L/S) pattern and of contact holes (C/H) pattern with ZER02#3 resist is presented. For L/S pattern, a resolution of 16 nm half pitch was achieved at the exposure dose of 54.2 mJ/cm2, giving a biased LWR of 4.1 nm and a biased LER of 2.6 nm by NXE3300. For hexagonal C/H pattern, 40 nm pitch was resolved at 72.4 mJ/cm2, with a LCDU of 3.1 nm by NXE3300. ZER02#3 could enhance sensitivity as keeping lithography performance with ZER02#1. In addition to the enhancement of the lithographic performance achieved, fundamental studies such as Gel Permeation Chromatography were realized to better understand the pattering mechanism under EUV exposure.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper described a higher sensitivity non-CAR type hemicellulose resist and the effect of hemicellulose content and polymer structure for EUV lithography. Hemicellulose content and the resist structure are the keys of EUV sensitivity. The line width 14 nm L/S pattern by EUV lithography was successfully obtained. Other 2types of resist were developed for higher sensitivity of EUV lithography. The results will be reported and confirmed the effect of hemicellulose content and resist structure. Non-CAR type hemicellulose resist is one of the candidates for next generation EUV lithography resist.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Extreme ultraviolet (EUV) lithography is almost ready for realize 7 nm generation manufacturing and beyond. A key factor for the realization of EUV lithography is the choice of EUV resist material that is capable of resolving below 15-nm half pitch with high sensitivity. However, the performance of EUV resist is still not enough for the true HVM requirements, even by using the qualified EUV resist materials. One critical issue is ‘Chemical stochastic’, which will be become ‘defectivity’.
We report herein how to improve `chemical stochastic’ in EUV lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In the development of highly resolving and highly sensitive resist materials, stochastic phenomena (LER and stochastic defect generation) are a critical issue. In this study, the dependence of the transient swelling layer formation of resist backbone polymer on its molecular weight and dispersion was investigated for the development of highly resolving resist materials. The dissolution kinetics was measured for different molecular weights and dispersions using quartz crystal microbalance (QCM) method. The relationship between transient swelling layer and stochastic defect formation is discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In EUV lithography, radiation chemistry is largely different from DUV. Engineers have explored self-assembled monolayers (SAM) in the context of lithography and some of their properties could be utilized in EUV. We study SAMs and their interaction with substrates using quantum chemistry.
Interface chemistry between resists and underlayers is playing an increasingly important role in EUV. it is conceivable that the resist molecules near the interface are susceptible to activation by electrons originated in the substrate. For their monolayer nature and spontaneous ordering, SAMs can be used for engineering interface properties in a predictable manner.
Understanding the physical and chemical processes at the interface in the presence of SAMs would be vital for better modelling their effects on imaging.
To address these questions, quantum chemistry is used to understand the properties of SAMs, such as their packing order. The surface electronic structure is also studied to elucidate the physical properties at the interface that could impact EUV dose.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The success in the shrinking of the electronic device constituents depends mostly on the photolithographic techniques. For next generation lithography, in order to achieve the desired downscaling patterns (<10 nm), extreme ultraviolet (EUV) radiation must be used and new materials must be developed. Standing Wave X-ray Photoelectron Spectroscopy (SWXPS), a fairly new method in the EUV lithography field, is an ideal method for characterization such new materials. For example, X-ray photoelectron spectroscopy (XPS) combined with standing-wave excitation can provide depth-selective information on the structural and chemical conditions of the photoresits as a function of temperature, exposure, or other parameters. We performed a SW-XPS feasibility study on self-assembled monolayer (SAM) films after exposure to electron beam. SW-XPS determined the semi-quantitative chemical profiles of the SAM layer with sub-nm accuracy including the roughness/interdiffusion of both interfaces. We demonstrated that SW-XPS can provide indispensable information useful for understanding the depth composition of films as well effects of irradiation (e-beam or EUV) on the latest ultrathin photoresists.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As EUV lithography moves into mass production, photoresist development continues to be one of the most prominent challenges to reach higher resolutions. This work aims to address the resist performance limitations with regards to image blur. Feature quality is a result of the aerial image and the response function of the resist. The contrast of the aerial image is reduced by the exposure tool (optical aberrations and mechanical stability) and the resist (chemical mechanisms and development). Decoupling these two contributions would be highly valuable information to assist in resist development. Here, we investigate the through-pitch behavior of image contrast to determine and de-correlate the limitations of the resist and the exposure process. The method used here is based on the experimental analysis of the through-pitch behaviors of CD and LWR in dense lines/space patterns using EUV interference lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Local critical dimension uniformity (LCDU) for contact holes may be correlated with stochastic defects (missing or merged holes), but metrology noise will bias the LCDU measured by a CD-SEM. In this work, large contact hole data sets will be collected with varying SEM measurement recipes, such as different numbers of frames of averaging. Additionally, multiple measurements of the same after-etch features will be used to quantify the impact of metrology noise on the biasing of measured LCDU. Finally, a recommendation will be made as to an approach that minimizes, or eliminates, bias in LCDU measurements.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As feature dimensions shrink, the need for rapid inspection of resist becomes ever more important. This is particularly true in the EUV regime, where concerns over stochastic failures require the measurement of millions of printed features in order to assess defect frequency. Making these measurements on resist-coated wafers represents a distinct challenge, as the optical scattering cross section of thin EUV resist necessitates the use of scanning electron probes, which are intrinsically slow due to the relatively small area probed per unit time. Thus, it is desirable to scan as rapidly as possible. However, owing to electronic and shot noise in the electron microscope, these methods are themselves subject to stochastic effects, producing noisy readouts. These imaging stochastics cloud accurate metrology of the underlying pattern, in particular the ability to accurately and reproducibly extract metrics such as LER, LWR, PSDs, etc.
In this study, we examine several strategies to “denoise” micrographs, with an eye towards recovering the true roughness characteristics of the underlying feature. To that end, we first perform 3D stochastic simulations of photoresist materials using the Multivariate Poisson Propagation Model. Then, scanning electron probe images of these resist “samples” are simulated using a rigorous model. Noise is then be added to these images consistent with assumed electronic and shot noise characteristics of an electron beam scanner. Finally, we apply a neural net approach to denoising the images, with a comparison to standard linear filters. The quality of the denoiser is assessed with respect to accurate recovery of the true LER, LWR, and power spectral characteristics of the original micrograph.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
EUV (extreme ultraviolet) lithography has recently begun to be applied to semiconductor mass production, and it is expected that more layers will be applied in the future. In particular, the adoption of EUV is a great advantage in that the number of masks required for ArF immersion lithography can be reduced, which can reduce not only the cost but also the risk of EPE (edge placement error) due to superposition. However, the pattern defects of EUV lithography is still issue, and its high resolution performance has not been fully exploited. In order to further pattern shrink of semiconductors in the future, a major issue is how to reduce these defects.
In this report, we introduce the latest approach for mitigation the defects of EUV lithography patterns. The defects are confirmed not only ADI (after development inspection) but also AEI (after etch inspection).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The key challenge to enable a good defectivity control for extreme ultraviolet (EUV) single expose at 32nm pitch is to understand what are the main drivers for defect generation.
CD is one of the main contributors, and has many sources of variability (reticle, imaging, die layout, scanner).
The paper will first discuss the quantification of defectivity sensitivity to CD, and identification of the main sources of CD variations (EUV flare, black border, etch, APC, mask bias etc...). All those effects do not have the same consequences on the defect level (only nanobridges will be considered as they are the main defect type).
At this pitch, CD margin is becoming critical, an any small variation can lead to pattern collapse/bridge regime.
In a second part, we will discuss the different options for a better CD control and evaluate their impact on the overall defectivity level (reticle, process and tool will be considered).
An Intrafield CD uniformity improvement of 40% can lead to a defect density reduction by about 30%.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this work, we demonstrate the use of a scatterometry-based technique to accurately monitor the dose variations seen on an EUV scanner. By carefully setting up the exposure conditions and data analysis, we can separate scanner-driven dose effects, mask reflectivity changes and process variations into its individual components. These measurements have a very high repro and throughput allowing us to use this technique to both monitor and provide active feedback to improve overall EUV cluster stability. We have used this technique to achieve a day-to-day dose variation of +-0.5% over a 6-month period on the NXE:3400B at IMEC.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
DUV lithography has successfully adopted both bright and dark mask tonalities. This gives the freedom to chip manufacturers to choose the optimum combination of mask and resist tonality for their product [1]. In EUV lithography, however, there has been a clear preference for dark field masks, driven by the prevalence of positive tone resist processes, and their relative insensitivity to multilayer defects. Future customer nodes, however, may require negative tone (metal-oxide) resist processes [2][3], resulting in a requirement to use bright field masks. Therefore, a deeper understanding of bright and dark field imaging is needed in order to provide guidance to ASML customers in choosing the optimal approach. In this work we consider the fundamentals of bright and dark field imaging based on the diffraction theory of aerial image formation [4]. We will show that bright field imaging has an intrinsic potential for higher optical NILS (normalized image log-slope), especially for isolated features, but with a lower depth of focus. The theoretical results are compared to rigorous simulations. Experimental bright vs dark-field results is also presented for comparison. Wafer based data has been obtained on an NXE:3400 scanner, whilst aerial image measurements have been obtained using the Aerial Image Measurement System for EUV (AIMS® EUV) at Zeiss. These experimental results confirm the theoretical expectations. The main goal of the paper is to draw attention to bright versus dark field comparison for EUV and to kick off more studies in this direction.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Gigaphoton develops CO2-Sn-LPP EUV light source which is the most promising solution as the 13.5nm high power light source for HVM EUVL. Unique and original technologies including; combination of pulsed CO2 laser and Sn droplets, dual wavelength laser pulses for shooting and debris mitigation by magnetic field have been applied. We have developed first practical source for HVM; “GL200E” 1) in 2014. Then it is demonstrated which high average power CO2 laser more than 20kW at output power in cooperation with Mitsubishi Electric2). Pilot#1 is up running and it demonstrates HVM capability; EUV power recorded at 111W on average (117W in burst stabilized, 95% duty) with 5% conversion efficiency for 22 hour operation in October 20163). Availability is achievable at 89% (2 weeks average), also superior magnetic mitigation has demonstrated promising mirror degradation rate (= 0.5%/Gp) at 100W or higher power operation with dummy mirror test. We have demonstrated >300W operation data (short-term) and actual collector mirror reflectivity degradation rate is less than 0.15%/Gp by using real collector mirror around 125W (at I/F clean) in burst power > 10 Billion pulses operation4). Also we will update latest challenges for >250W average long-term operation with collector mirror at the conference.
REFERENCE
1) Hakaru Mizoguchi, et. al.: “Sub-hundred Watt operation demonstration of HVM LPP-EUV source”, Proc. SPIE 9048, (2014)
2) Yoichi Tanino et.al.:” A Driver CO2 Laser Using Transverse-flow CO2 Laser Amplifiers”, EUV Symposium 2013, ( Oct.6-10.2013, Toyama)
3) Hakaru Mizoguchi, et al: ” High Power HVM LPP-EUV Source with Long Collector Mirror Lifetime”, EUVL Workshop 2017, (Berkley, 12-15, June, 2017)
4) Hakaru Mizoguchi et al.:” Challenge of >300W high power LPP-EUV source with long collector mirror lifetime for semiconductor HVM”, Proc. SPIE 11323, Extreme Ultraviolet (EUV) Lithography XI (2019) [11323-28]
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An EUV-FEL is one of the promising candidates for the future high power EUV light source of more than 1 kW. While the design study on the FEL light source has been progressed, a most important milestone should be a real demonstration of the high repetition rate ERL-based FEL light production. In FY2019, a real Mid-Infrared FEL (MIR-FEL) project based on the compact ERL in KEK started and the beam commissioning was started from the beginning of March 2020. At the conference, the present results obtained from the MIR-FEL and the expected remained-study-works on future EUV-FEL will be presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this contribution the accuracy of measurements performed with a stand-alone EUV spectrometer is analyzed. The setup is used to determine optical constants and dimensional characteristics of samples, e.g. ultrathin films or nanoscale gratings. For this purpose, measurements of the broadband EUV reflectance of the samples at variable grazing incidence angles are used to reconstruct sample parameters in a model-based approach. The accuracy of these measurements is a crucial factor for a reliable characterization of samples. We present an overview on the sources of uncertainties in the experimental setup as well as improvements to the setup that improves its accuracy. Additionally, the reconstruction accuracy of the optical constants is analyzed. A focus is put on the influence of the experimental uncertainty and the range of incidence angles used for reflectance measurements.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Fraunhofer ILT has been developing EUV sources for more than 2 decades and has been developing multitude of EUV applications in collaboration with RWTH Aachen University, e.g., EUV laboratory-scale lithography for patterning and resist testing with demonstrated resolution of 28 nm HP or EUV reflectometry for surface sensitive analysis.
Newest is the Fraunhofer high Irradiance Tool (FIT) for accelerated testing of optical components. Outline specs include: EUV irradiance >40 W/cm², angle of incidence on sample <5°, spot diameter >1.8 mm, repetition rate up to 2.5 kHz (10 kHz multiplexed), EUV power at focus >3 W, clean and controllable sample atmosphere.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
EUV Mask Inspection Review: Joint Session with conferences 11517 and 11518
As extreme ultra-violet (EUV) lithography moves into high volume manufacturing (HVM) for several critical layers for the N5 node, there is a need to develop a comprehensive strategy for mask re-qualification in the fab to mitigate contamination risks. The introduction of additional particle sources due to the scanner vacuum system and potential growth of film or particle deposition on the reticle, in combination with pellicle uncertainty, pose unique inspection challenges for EUV reticle defectivity compared to 193i reticles. EUV reticles are typically inspected with optical reticle inspection tools at outgoing quality control during their manufacture. Optical reticle inpsection tools are also traditionally used in the IC fab for incoming reticle qualification and periodic reticle re-qualification during production. However, to reduce material at risk in the IC fab there is a need for alternate inspection methodologies based on inspection of printed wafers. In addition, potential new defect mechanisms, such as those associated with the multi-layer mask of the EUV reticle, are driving fabs to re-qualify reticles in production using new methods that involve printed wafer inspection. The printed wafer inspection methodology is referred to as “reticle print check” or simply “print check”. In this paper we will describe the print check flow and show results from new developments in this methodology improving the capture of mask defects on wafer.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
RESCAN is a coherent diffraction imaging based APMI microscope prototype. A complex image of the EUV reticle is reconstructed from diffraction patterns collected on a CCD detector. With the next upgrade of the tool, the resolution will be enhanced from the current 34 nm down to 20 nm on mask. Also the illumination NA value will change from the current range of 0.002 to 0.02 to a value of 0.035. Here, we study how a change of the illumination NA affects the EUV mask inspection in simulation. We observe a better image quality, lower object error and higher defect sensitivity with increasing illumination NA.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We present a phase imaging technique for measuring the phase of EUV masks. In this technique we use a Quadriwave Zone Plate (QZP) to superpose four laterally separated copies of the complex amplitude function in the image plane, causing them to interfere onto a detector. By changing the scanning angle, we can control the relative phase between these images, which allows us to extract two orthogonal derivative phases directly, and then subsequently reconstruct the phase of the EUV mask. If the region of interest contains a reference region such as a clear field, the phase can be extracted from the derivative phases directly. Simulations and an experiment are performed to demonstrate the method accuracy.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
EUV Mask II: Joint Session with conferences 11517 and 11518
Today’s EUV masks are optimized for maximum reflectivity at 6o angle of incidence to support imaging on the 0.33NA scanners. The High-NA EUV scanner will have an NA of 0.55 and anamorphic optics, as right balance between productivity and keeping the angles on mask level compatible with the current EUV masks. However, for selected use-cases increased Mask-3D effects are observed. The enlarged angular spread on mask for vertical L/S may cause, amongst others, an increased non-telecentricity variation across slit compared to 0.33NA, potentially leading to on-product overlay errors. In this paper, we will show the root-cause of these enhanced M3D effects for High-NA EUV and the role of the EUV mask multilayer. We will show how an ideal EUV multilayer could look like to mitigate these M3D effects and propose a practical embodiment in the form of a RuSi multilayer stack. We will show some first experimental results of an ion beam deposited RuSi test sample, assess the main challenges from manufacturability point of view and what imaging performance can be expected for such a mask multilayer applied for High-NA EUV.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A parallelism is reported between reticle lifetime experiments undertaken on TNO’s EBL2 platform and wafer printing on the ASML NXE EUV scanner installed at imec. EBL2 mimics reticle impact due to exposure of ten thousand wafers in NXE representative conditions in less than a day. In-situ X-ray Photoelectron Spectroscopy (XPS) has shown that a local high-dose EUV exposure removes surface carbon and reduces ruthenium oxide to ruthenium. These effects not only happen at the directly exposed location, but equally centimeters away. Repeating XPS after a period of reticle storage outside of the vacuum, revealed regrowth of such contamination layer and re-oxidation of ruthenium. This learning based on EBL2 explains a small but significant trend noticed in critical dimension measurement results on wafer through a batch of wafers exposed on NXE, depending on the prior storage conditions of the reticle. During first exposures following reticle entry into vacuum reticle storage effects become gradually undone. Both storage-induced mask contamination effects are shown to build-up beyond one month. Local effects of the high-dose EUV exposure remain measurable by EUV reflectometry after several weeks of storage in air.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper extends the 2019 findings, that local defects on an EUV mask are trigger points for stochastic failures on the printed wafer, to a simulation study of non-local mask deficiencies. These relate to shortcomings of a larger area nature where the reticle deviates from an ideal reticle or fails specification. These include aspects such as global CD error, absorber slope, contamination effects, line edge roughness, and multilayer roughness. The presented results suggest that mask specifications may need refinement, specifically for roughness type deficiencies, in order to help mitigate the mask contribution in the stochastics of wafer printing by EUV lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The imaging and inspection of extreme ultraviolet (EUV) masks is an important aspect of EUV lithography. The availability of actinic mask inspection tools able to generate highly resolved defect maps of defective EUV layouts is needed to ensure defect–free wafer prints. The technological interest towards phase–shift absorber materials for the next generation of EUV masks, and the associated need for phase metrology at the absorber level, makes phase retrieval methods a particularly interesting option for actinic inspection. In this work we use ptychography as an inspection tool for EUV masks. We show how variational and statistical methods can be employed to include a–priori information in the ptychographic inverse problem and how to cluster different update rules – stemming from the minimization of appropriate cost functionals – to optimally include prior information in ptychography under Poisson noise.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
While EUV systems equipped with a 0.33 Numerical Aperture (NA) lens are entering high volume manufacturing, ASML and ZEISS are in parallel ramping up their activities on an EUV exposure tool with an NA of 0.55. The intent of this high-NA scanner, targeting a resolution of 8nm, is to extend Moore’s law throughout the next decade. The high-NA optical system, together with the developments in mask and resist, provides an increased contrast, key to control stochastic contributions to EPE and the rate of printing defects. A novel lens design, capable of providing the required NA, has been identified; this lens will be paired with new, faster stages and more accurate sensors enabling the tight focus and overlay control needed for future process nodes. Impact on system architecture and proposed solutions are described in this paper. In addition, we give a status update on the developments at ZEISS and ASML.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Anamorphic imaging enables NA=0.55 in future EUV systems. At unchanged reticle size, the maximum on-wafer image size is reduced from the today’s full-field to a half-field of 26mm by16.5mm. Though most of the applications use a chip smaller than a half field, some of them still need a larger chip. To realize an on-wafer full-field with an NA=0.55 EUV system, two half-field images need to be stitched: abutting two images from a single reticle or from two different reticles, depending on the application. Using the ASML NA=0.33 NXE system at imec, “at-resolution stitching” on wafer is used to explore experimentally how CD and pattern placement are affected by abutting images of critical patterns located at the reticle edge. Using various test masks, a pattern placement error is measured within a 10μm range (1x) from the Black Border (BB) edge. Ideally it will be avoided by an adequate mask manufacturing process. We also measure a crosstalk between the two abutting images, that is attributed to a flare crosstalk, impacting the CD of critical patterns. Dummy tiles and a flare OPC need to compensate for this effect similarly to the correction inside the image. Finally, at short range, aerial images of the critical patterns at the very edge of abutting images can crosstalk. To avoid a complex OPC and tight specifications on the BB edge, an exclusion band is recommended to keep those aerial images from interacting. With the adequate placement solution at mask BB edge and with a flare compensation solution implemented, an exclusion band of about 1μm at wafer level is sufficient to support a robust stitching scenario for anamorphic High NA imaging. Its impact on various types of applications is discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The next-generation high-NA EUV scanner is being developed to enable patterning beyond the 3-nm technology node. Design and development of the scanner are based on rigorous litho-simulations. It is important to verify key imaging simulation findings by means of aerial image experiments with representative high-NA scanner characteristics. The first ASML-SHARP joint experiment was done with lines and spaces with pitches down to 16 nm wafer scale (1x). The experimental results confirmed the key litho-simulation findings: central obscuration’s impact on high-NA imaging and mitigations of obscuration’s impact using flex illuminations.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The high NA=0.55 EUV scanner has an obscuration in the pupil. This has led to the choice to expand the aberration wave-front not in Zernikes anymore, but in other, orthogonal, basis-functions instead. The reasons for this choice and the description of the basis-functions will be discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We demonstrate P24 line/space and P28 contact hole printing on wafer using a NXE:3400B EUV scanner. The goal is to enable ecosystem development towards high-NA in a Fab-like environment. We allow for pupil fill ratios down to 6% (illumination efficiency ~35%) and use fading correction by induced lens aberrations. We show that the dose sensitivity for P24 L/S can be improved by more than 30% compared to a standard (leaf-shaped dipole) pupil. For contact holes, both single expose and double L/S expose schemes print contact holes at pitch 28nm in metal oxide resist (NTD), albeit at very different dose.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We report the status of CO2-Sn-LPP (Laser-produced-plasma) EUV light source that is being developed at Gigaphoton. Our unique and original technologies are; the combination of a pulsed CO2 laser with Sn droplets, dual wavelength laser application and Sn mitigation with a magnetic field. With these technologies, we achieved >250W (clean burst power at I/F) during more than 10 Billion pulses of operation.
At the conference, we will present the development progress of system key components of our EUV light source and the evaluation results of the rate of decrease in reflectivity of actual collector mirrors at >250W.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Investigations on the application of aqueous ethyltrimethylammonium hydroxide (ETMAH) as an alternative developer solution for extreme ultraviolet lithography (EUVL) were carried out. Utilizing a widely-used chemically amplified resist, it was found that the ETMAH developer solution has no negative impact on lithographic performance; resolution, line width / line edge roughness, and sensitivity (compared to the de facto standard aqueous tetramethylammonium hydroxide or TMAH). Stochastic defectivity analysis was performed by considering the effect of line-break (in the overdose region) and line-bridge defects (in the underdose region), on exposure latitude (ELX) and critical dimension or CD margin (CDMX). Results show that the ETMAH improves ELX and CDMX by around 10% and 5%, respectively at a lower developer solution concentration of 0.20N. These results confirmed the capability of the ETMAH in reducing resist-based stochastic defects, demonstrating its potential as an alternative developer solution for EUVL.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In order to calibrate the spectral responsivity (unit: A/W) of an extreme ultraviolet (EUV) optical power meter designed to be used in EUV lithography chambers, a wavelength switchable EUV light source has been developed. The EUV light source includes a table-top coherent light source based on high-order harmonic generation (HHG) and a custom-built EUV spectrometer. The energy (wavelength) range of the reporting EUV light source is from 40 eV to 120 eV (~ 10 nm to 30 nm), covering the mostly used wavelength of 13.5 nm for EUV lithography. Instead of conventional diffraction mounting of gratings, the EUV spectrometer is based on the conical diffraction mounting geometry. Such design successfully increases light emitting efficiency. The output optical power of this EUV light source is close to 1 μW. On the other hand, calibration methods of EUV detector responsivity were evaluated, using a synchrotron light source. Several data processing techniques were studied to compensate light source fluctuation and to reduce the measurement uncertainty. In the future, the reporting EUV light source will be considered as a standard light source for EUV detector responsivity calibration. Our final goal is to develop simple and reliable methods for EUV optical power and dosage measurements.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Features in forbidden pitch have limited exposure latitude and depth of focus in lithography exposure. This paper provides an analysis of forbidden pitch in extreme ultraviolet lithography (EUVL) from the perspective of rigorous simulation and source mask optimization (SMO). In the stage of rigorous simulation, S-litho is used to analyze the normalized image log slope (NILS) of test patterns from different critical layer in 5nm node. Then the process windows of these test patterns are simulated and compared by the lithography simulator Proteus WorkBench. From the result analysis, the forbidden pitches of critical layer in 5nm node are summarized. In addition, the strategy of mitigating the negative effect of forbidden pitch is proposed with the help of computational lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this contribution we describe a simulation and experimental study investigating the impact of mask non-ideality and Mask Process Correction (MPC) model choices on Optical Proximity Correction (OPC) model accuracy for an EUV use case. We describe simulation flows and their results for two cases. In the first case we investigate the impact of using an MPC simulated mask contour vs an ideal post-OPC mask. In the second case we investigate the differences between simulations using experimentally measured and simulated mask contours. The wafer data used in this study is an N5 M2 process developed at IMEC with contour-based metrology performed using ASML MXP. NCS NDE-MPC models are created using POR CDSEM CD data and MXP contour data. OPC models are calibrated and evaluated using ASML FEM+ software.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Due to the challenges to meet the transmittance requirements with Si related multi layers for EUV pellicle, many deposition method are tested to evolve the EUV transmittance. For the mass production using EUV lithography, it is expected that high transmittance EUV pellicles will be needed to improve productivity without particle induced yield drop. However, the structure of current EUV pellicle is too thin to handle it. Also, too thin layer cannot protect core layer such as p-Si during the EUV lithography, chemically. Thus, the new layers and materials are needed to achieve high transmittance of EUV and the physical strength. FINE SEMITECH CORP. (“FST”), started to develop new method for EUV pellicle capping layers. First of all, following simulation data were the EUV transmittance trend of SiN layer by according to Si/N ratio. From these simulation data, a simple calculation was formed to predict the EUV transmittance of SiN layer by measuring the atomic ratio. Also, we showed some experimental data of new-SiN layers. A thinner new-SiN layer was adoptable as protective layer with high EUV transmittance for the core layer of EUV pellicle from the results.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The EUV laboratory exposure tool (EUV-LET) is a compact nanostructuring setup used for large-area patterning of arbitrary to periodic structures as well as industrial photoresist characterization in terms of sensitivity, contrast and resolution. The setup utilizes partially coherent radiation of a compact discharge-produced plasma (DPP) EUV source, spectrally filtered by a multilayer mirror to a wavelength of 13.5 nm with 4 % bandwidth (full width at half maximum, FWHM). The system is equipped with a precise positioning system for mask and wafer, which allows resist exposures at defined distances. For the generation of large area nanopatterns, the achromatic Talbot lithography is applied which is well suited for high-resolution patterning of periodic structures with partially coherent radiation from plasma-based EUV sources. Optimized transmission masks enable the generation of contrast rich intensity modulations leading to structure sizes below 40 nm. The theoretical resolution limit is in the sub-10 nm range by taking advantage of a two times mask pattern demagnification. The achievable practical resolution is mainly limited by the fabrication of the required transmission masks and the optical properties of the mask illumination. In this contribution, the EUV-LET serves as a basis to identify design rules for core building blocks of a future industrial EUV resist qualification setup (EUV-REQS). These core building blocks try to overcome the main limitations to resist testing at highest resolution as stated above. For the optimization of the illumination properties, the design of highly efficient illumination optics is investigated and presented. Aims of the illumination optics are the generation of high throughput, homogeneous illumination of the patterned mask area and the optimization of the EUV radiation properties to fulfill the requirements for Talbot lithography. For the generation of high-resolution intensity patterns in wafer plane, the design of resolution test masks is analyzed and evaluated by means of the achievable resolution and patterning uniformity. The fabrication of a resolution test mask and selected exposure results are shown as well.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.