Presentation
20 September 2020 Multi-beam mask writer MBM-2000
Author Affiliations +
Abstract
This paper covers the writing performance of our multi-beam mask writer, MBM-1000, which has been developed for the 5 nm technology node. It exposes low sensitivity resist faster than VSB writers and prints complex patterns with better fidelity. We will describe its writing performance and compare it with our VSB writer, EBM-9500 PLUS. MBM-1000 has pixel-level dose correction (PLDC). PLDC modulates dose profile at pixel level to improve pattern fidelity and patterning resolution. It is integrated with MBM-1000 data path and runs inline. We will also report functions and writing results of MBM-1000 with PLDC.
Conference Presentation
© (2020) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Hiroshi Matsumoto, Keisuke Yamaguchi, Hayato Kimura, and Kenichi Yasui "Multi-beam mask writer MBM-2000", Proc. SPIE 11518, Photomask Technology 2020, 115180A (20 September 2020); https://doi.org/10.1117/12.2573147
Advertisement
Advertisement
KEYWORDS
Distortion

Electron beam lithography

Optical design

Scattering

Extreme ultraviolet

Forward error correction

Laser scattering

Back to Top