Presentation
22 February 2021 Scaling opportunities with next-generation, multi-pitch directed self assembly
Author Affiliations +
Abstract
As feature sizes shrink, state-of-the-art patterning has to meet three critical challenges: The ability to print patterns at tight pitch, the ability to accurately place patterns with respect to each other (edge placement error or EPE control) and the ability to deal with pattern variations induced by photon and resist stochastics. While extreme-UV lithography (EUV) with NA 0.33 can now routinely print sub 30 nm pitch line and space patterns and improve pattern placement by reducing the number of required overlay steps, the industry needs to invent complementary patterning techniques for continued pitch scaling with EUV. Complementary patterning methods like spacer-based pitch division have allowed the industry to break the Rayleigh resolution limit of 193 nm wavelength immersion lithography and pitch division of EUV patterns will allow the industry to scale pitch well below 20 nm. However, as the target pitch scales, variations in the critical dimensions (CD) of line and space patterns caused by photon and resist stochastics will absorb a significant portion of the EPE budget. Directed self-assembly (DSA) is a powerful patterning technique to pattern dense, periodic features with low number of defects and with pattern uniformity not readily achieved by optical lithography alone. DSA offers a fundamental EPE advantage over spacer-based pitch division since the line and space CDs are chemically encoded into each molecule with unprecedented accuracy. Additionally, ease of pitch scaling and low process complexity are well-documented features of DSA processing. However, since the pitch of the DSA-defined line/space pattern is determined by the molecular weight of the polymer, we have been unable to deploy DSA to flexible design rule applications that require multiple pitches and multiple CDs. In this paper we will report on process flows that use DSA to rectify a complex, multi-pitch and multi-CD pre-patterns printed with EUV. We will describe how material selection, EUV exposure, and processing conditions impact the type of complex patterns that can be rectified and highlight opportunities for materials development to make this powerful complementary patterning technology become a reality.
Conference Presentation
© (2021) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Florian Gstrein "Scaling opportunities with next-generation, multi-pitch directed self assembly", Proc. SPIE 11610, Novel Patterning Technologies 2021, 116100J (22 February 2021); https://doi.org/10.1117/12.2591108
Advertisement
Advertisement
Back to Top