PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
A computer aided design tool, MASC (Mask Analysis System by Computer), has been developed to automatically generate design graphs of user specified image quality measurements, for instance, intensity slope around a feature edge or the critical dimension formed by intersecting images of two mask layers, as a function of both optical system and mask layout variables. It is intended to assist layout designers in determining the printability of a particular mask level or combination of mask levels which form a given device. MASC is applied to a DRAM layout to illustrate these capabilities. A misalignment analysis of the poly layer with respect to the trench layer demonstrates that the lin- ewidth extracted from the 0.3 intensity contour is less sensitive to misalignment than would be predicted from the overlap of the mask geometries themselves. The resizing of poly bit lines in a DRAM cell is examined to show that focus latitude and depth of focus are not adversely affected by bloating. SEMs support this prediction. Finally, the lin- ewidth control of a DRAM layout is improved by bloating a noncritical line by 0.25 ?/NA and adding a phase-shifted non-printing band located 0.3 ?/NA from the critical line
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We propose an optimization based algorithm for designing phase-shifting masks. Our approach is an extension of our previous work [1, 2, 3] in the sense that the intensity image is optimized at a number of optical planes rather than just the focus plane. In addition, our algorithm can be used to design masks with shifted focus plane and/or extended depth of focus. We also propose the concept of “dual mask” and show its consequences to practical phase-shifting mask design. Finally, we show examples of our proposed design techniques for single line phase connectors, cross phase connectors, contact holes and bright lines. Simulation and experimental results verify the capability of our design technique to extend depth of focus and shift the focus plane.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new phase shift lithography method has been developed that allows different integrated circuit (IC) features to be focused in different optical planes, conforming to the IC surface topography. In principle, each pattern in an IC could have its own unique focal plane. Direction and magnitude of each focal shift are determined by the design of the mask phase shifters. This method is applicable for use with
conventional opaque mask patterns and unattenuated phase shift patterns. Both
types of patterns can be intermixed on the same mask if desired.
Characteristics of the Multiple Focal Plane technique have been evaluated experimentally and through mathematical modeling using TCC optical imaging theory. Experiments were conducted with a commercial i-line wafer stepper
(N.A.=0.50, ?=0.50) using conventional positive and chemically amplified negative resists. Mask patterns evaluated included dark-field Cr masks, isolated clear-field lines, unattenuated phase-shift patterns. Effects of changes in phase shift are discussed, and practical mask design approaches are recommended.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Phase-shifting masks are expected to improve the resolution of photolithography without renovation of exposure systems. However, the problem of finding an effective method for designing phase-shifting masks for arbitrary IC patterns has been open for several years. We propose here a computational strategy to solve this problem. The computational complexity of the proposed technique is 0(N3), where N is the total number of pixels on the image plane. Simulation results show that with optimally designed phase-shifting masks, 0.45?/NA contact hole, 0.45?/NA single space, and 0.30?/NA periodic lines/spaces may be printed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Phase shift technology shows promise to extend the useful resolution and focus latitude to contemporary optical steppers. If successful in application, this represents significant cost savings to the manufacturing wafer fobs provided that the steppers can be used or modified to take advantage of phase-shift techniques. In this paper we explore the limits of phase-shift lithography, particularly at i-line. We do this following a two-fold approach: a) using simulations and b) collecting experimental data using different resist processes and phase-shift techniques. We conclude that using state-of-the-art photoresist processes and phase-shift techniques, i-line optical lithography can be extended to the 0.25 ?m regime.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We propose in this paper a new imaging technology for the 64M DRAM, named "CQUEST” (Canon Ql/adrupole Effect for Stepper Technology). CQUEST is derived from the mathematical analysis of the partial coherence theory1. It can provide almost the same effects with conventional masks as those that result using phase shift masks. Therefore, it is a promising candidate for next generation lithography.
Simulation and some experimental results will be shown to substantiate the above. As shown in the results, the 64M DRAM process can be achieved with the existing i-line technology.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We propose in this paper a new imaging technology for the 64M DRAM, named "CQUEST” (Canon QUadrupole Effect for Stepper Technology). CQUEST is derived from the mathematical analysis of the partial coherence theory1. It can provide almost the same effects with conventional masks as those that result using phase shift masks. Therefore, it is a promising candidate for next generation lithography.
Simulation and some experimental results will be shown to substantiate the above. As shown in the results, the 64M DRAM process can be achieved with the existing i-line technology.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The effects of condenser tilt on the imaging characteristics of optical projection exposure systems for lithography are investigated analytically and experimentally. For the focus dependence of images, the difference between a phase-shifting mask and a conventional transmission mask is examined. Condenser tilt effects are explored by displacing the source images formed on the entrance pupil of a projection lens. Condenser tilt is shown to shift periodic line pattern images when the image plane is out of focus. For fine features near the resolution limit of the projection lens, the direction of image shift that occurs with a phase- shifting mask is shown to be opposite the shift that occurs with a transmission mask. For fine features, condenser tilt is also shown to diminish the image contrast obtained with phase-shifting mask and increase the contrast obtained with transmission mask.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An evaluation procedure for advanced I-line photoresists is presented. The evaluation is comprehensive in nature, including manufacturing and quality requirements as well as the usual patterning performance tests. The evaluation is divided into three general categories: Performance, Manufacturability, and Materials. These categories include a total of 23 individual performance tests and 15 evaluation criteria. A scoring method is described which assigns a numerical rating to the resist performance. Weighting constants contained in this procedure can be adjusted to vary the emphasis on particular measures of the photoresist performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As device dimensions have shrunk well below the one micron level, linewidth control particularly over reflective topography has become a major problem in optical lithography. Other than reflective notching caused by light reflected into unwanted areas, thin-film interference is the major contributor to linewidth variations. Small changes in film thickness over steps cause significant changes in the amount of energy deposited into photoresist films. Various methods used to solve this problem are investigated to measure their relative effectiveness. Conventional photoresist, dyed-resist, bottom layer ARCs (antireflcctivc coatings; both inorganic and organic), TAR (top-antircflcctivc layer) and CEL (contrast enhancement layer) as a special case of TAR arc compared for their relative effectiveness as well as their advantages and disadvantages for use in manufacturing. Simulations and functional evaluation of film thickness effects on exposure requirement and on linewidths as well as imaging over topography are used as a means of comparison.
The use of TAR is a relatively new approach to solving this problem in a simple, effective manner. Material choice depends on film refractive index and ease of processing. Several TAR materials have been investigated and will be discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An effective and practical control technology of critical dimensions for submicron VSLI is presented. An ARCOR (Anti-Reflective Coating On Resist) process was improved, which is applied as a transparent type anti-reflective coating. A water soluble and low refractive index film was developed for this purpose. The following five items were measured experimentally and discussed : (1) amplitude of swing curve's dependence on resist thickness, (2) thickness latitude of the ARCOR film, (3) photo speed, (4) CD variations in a submicron DRAM and (5) alignment accuracy with a bright field alignment system.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Proximity effect, in general, is a major concern for submicron lithography. There are two kinds of proximity effect, i.e. global and local proximity effects, normally observed in the submicron lithography processes. Local proximity effect is occurred as a result of interaction between adjacent patterns, in which elbow rounding and proximity effect between adjacent contact holes are two typical examples. Global proximity effect is as a result of thin film interference of photoresist thickness variation over topography. The critical dimension variation between cell array and periphery patterns is a typical case. In this paper we will discuss several process approaches to the solution of global proximity effect. An optimum process to minimize the global proximity effect will be described.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A two-dimensional image monitor with high resolution has been implemented on a deep-UV 0.6 NA stepper. The aerial intensity sensor uses a photodiode which is integrated in the wafer chuck and a chromium coated quartz wafer with an array of 0.2 (im pinholes. The aerial image is scanned by the sensor which is positioned by the stepper stage. The image monitor is suitable for stepper setup and a performance characterization. The two-dimensional measurement makes possible the detection of lens aberrations. The aberrations may be determined in type and magnitude by slicing the image at various focus levels. The image monitor has shown significant capability for experimental investigation of a great variety of issues for lithographic projection printers
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Polarization and interface reflection effects are examined for technology issues in mask making of chrome edge shape, overcoating, double phase-shifting removal of defects, and reflective masks. This study is based on the massively parallel rigorous electromagnetic simulator TEMPEST1, with extensions to include the TM polarization and boundary conditions for outgoing waves in optical materials. The analysis was carried out on a CM-2 connection machine with remote electronic access. A local workstation was used to write and preview the geometry as well as process images from files of diffraction efficiencies downloaded to the workstation from the network. Through this remote analysis procedure, the four proto-typical mask case studies described by Doi et al.2 were examined for polarization and boundary condition effects.
For chrome masks of one wavelength wide opening in a IX system at 0.248 |im, moderate differences between the TE and the TM polarizations were observed in the peak intensities (10%). The TM polarization in general showed higher transmission and lower sidelobes. The two polarizations showed a similar increase in linewidth and peak intensity with decreasing chrome edge slope. The difference was not as pronounced for a 5X system at 0.365 |Lim. Overcoating chrome masks with anti-reflection layers improved resolution for both polarizations. For 5X chromeless phase-shifting masks at 0.365 pm, neither polarization effect nor phase-shifter edge slope was important. The peak intensity at the phase-shifting section changed by only 1% and the linewidth varied by less than 2% when the phase-shifter edge slope changed from 90° to 45°, keeping the mid-points of the phase-shifter edge fixed. To remove defects on such masks by double phase-shifting may drop the intensity level to 70% of the clear field value for a 0.1 X/NA sized defect. For IX reflective masks at one wavelength, both polarizations displayed similar behaviors. These reflective masks with built-in material-based phase-shifting improved the image slope, and the TM polarization was found to have slightly less ringing than the TE polarization.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
One of the primary goals for low K1 lithography is to maintain consistent pattern alignment against wafer thin-film stack effect, substrate reflectivity and graininess. Alignment sensitivity to such perturbations is basically reflected in increases or decreases of the amount of the diffracted energy captured by the stepper alignment detectors. "Tunning" the alignment marks etch depth/thin film stack/coating thickness and uniformity with the stepper optical alignment system can improve dramatically the alignment capability of an existing machine. The present paper reports on our simulation and experimental work to "tune" the alignment mark topography to a Nikon LSA alignment system. Parameters known to affect alignment capability, such as Si etch depth or Si oxide etch depth were first analyzed and experimentally verified. Then alignment marks topographies with more complex (but also more closer to real situations) were simulated and experimentally studied. Situations when a "phase shifter" or a "phase compensator" is constructed on the LSA marks may overcome initial etch depth influence upon alignment capability and registration results. In most cases simulation results can predict "succesful alignment" when diffracted energy efficiency is higher than 3 to 5%. Phase shifters/compensators improved registration results (mean +3S) to 0.120 - 0.150um, on practical multilayer topographies.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Two lithographic processes for phase-shift mask manufacturing have been investigated in which the pattern of a Cr layer and the pattern of a phase-shifter layer of a spin-on- glass (SOG) are delineated by a laser writer or an E-beam writer. Masks both of a shifter-on-chrome stmcture and of a chrome-on-shifter structur were fabricated. Five different processes were chosen by combination of the writers and the structures. The accuracy of alignment between the Cr layer and the SOG layer was measured for the mask fabricated by each of them. An alignment accuracy of less than 70nm was obtained when an Etec WW6000 laser writer was used with proper offset-correction. The side wall angle of the SOG pattern was 85±5° in laser lithography and 80° in EB lithography. For EB lithography an additional conductive layer either under the shifter layer or on the resist layer is indispensable to avoid the charging-up effect. Among the three transparent conductive materials evaluated, Indium Tin Oxide, Sn02, and DNP’s proprietary, only Sn02 showed acceptable practical properties, necessary also as an etch- stop, including sufficient durabilities against both sulfuric acid and dry-etch plasma. The optical transmittance of its 10 nm thick film on a quartz substrate at i-line wavelength is acceptable (88 %) although that at KrF excimer-laser wavelength is poor (64 %). The two commercial conductive polymers, TQV and ESPACER 100, were found to work well. Advantages and disadvantages of the two processes are discussed
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this new process for phase-shifting mask fabrication, molybdenum silicide (MoSi) is used as an optical shield layer and spin-on glass (SOG) as a phase-shifter layer. Chromium is employed as an etch-stopper during SOG etching. Cr etch-stopper will be removed at the end of tiie process, therefore all optical problems related to an etch-stopper are avoided. This Cr etch-stopper is also useful in inspection and repair of shifter remaining defects. At first, we will describe the fabrication process including the shifter-defect inspection and repair. Secondary, we will discuss the phase-shifting mask accuracy and its influence to the printed resist pattern when using the alternating type phase-shifting mask. Lastly,we will mention the application result of development of lithography for 64Mbit DRAM using this process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The deviation of phase shift angle from 180° seriously deteriorates the focus latitude. In order to obtain the expected performance of phase shift mask, a Chromium(Cr)/Phase-Shifter/Quartz(Qz) structure is investigated. In this phase shift mask structure, the shifter thickness i.e., phase shift angle, can be precisely controlled, compared with a conventional Shifter/Cr/Qz structure. Spin-on-grass(SOG) is used as the phase shifter material because of its excellent thickness uniformity. Alternating phase shift mask that has the Cr/SOG/Qz structure was fabricated using Ar-laser writing method, and evaluated using a NA=0.45, 6=0.3-0.5,I-line stepper. Obtained results show that this phase shift mask structure is very promising for the subhalfmicron pattern formation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Various methods for the application of phase-shift mask (PSM) technology have been discussed, and for non-periodic features such as isolated contact holes, the "rim-shifter" and "out-rigger" methods show particular promise. While both approaches can improve process latitude, they introduce a new complication in the form of secondary illumination intensity lobes which can degrade lithographic performance. The present work specifically addresses whether an optimal "high contrast" resist process for conventional lithography will also be optimal for processes using rim-shifters and subresolution out-rigger shifters in the production of isolated trenches and contact holes. Simulations using SAMPLE and SPLAT show that for a given mask design, high contrast processes can amplify the secondary lobes and therefore may not be optimal. The reason for the enhanced printing of the secondary lobes is traceable to the higher Exposure Margin (defined as the ratio dose-to-size/dose-to-clear) associated with high contrast processes. Such processes require high exposures (relative to the clearing dose) to achieve the target developed dimension and so the secondary lobes are, in a sense, overexposed. Because the preferred mask designs are still evolving, it is uncertain whether secondary lobe printing will be an important factor in process optimization; however, the present work suggests that the problem is minimized by using positive resist systems with high surface inhibition and high transparency at the exposing wavelength. These are the same qualities which maximize profile-related defocus latitude with conventional masks. Lithographic results are presented showing superior performance of a photoresist formulated with these characteristics over conventional materials.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Despite the potential of phase shifting masks to extend projection optical imaging to higher resolution or lower ki, several issues remain to be investigated before the technology can be fully used. This paper addresses the effects of phase and transmission errors on the alternating-element phase shifting method, otherwise known as the Levenson technique, for printing closely packed lines and spaces. Aerial image simulation is used to generate exposure-defocus trees and the normalized depth of focus k2 is used as the criteria based on given assumptions of linewidth tolerance and exposure allowance. Response surfaces are generated to define the tolerance limit for the phase and the transmission errors which affect both the depth of focus and the center focus. The dependency of these errors on ki, A, and NA are discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In general, depth-of-focus (DOF) decreases as the square of the feature size. As the resolution of optical lithography has improved, with the potential to go below 0.25 /tm, the decrease in usable DOF has been significant. As such, there has been increasing effort put towards ways of improving DOF in manufacturing. This paper will examine several proposed techniques for improving DOF including the use of geometry dependent mask bias, variable numerical aperture, multiple focal-plane exposures (the FLEX method), frequency plane spatial filtering, and annular illumination sources. As will be shown, each method offers the potential for improved DOF, but only for certain cases. None of the methods provides a general solution to the problem of shrinking focal depth, but rather they may simply slow the inevitable progression.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The technique of exposing chips several times, changing the focal plane of the stepper between exposures, has been proposed by others as a way of improving the depth of focus when printing contact holes. We have investigated this technique with aerial image simulation, using criteria based on exposure and defocus latitudes. In addition, we have performed experiments using an i-line stepper to establish the correlation between simulation and what is achievable when printing images in resist. In this paper we investigate the following questions: (i) what is the optimum number of focal planes; (ii) what is the best separation of these planes; (iii) what is the effect on exposure dose; and (iv) what is the net process improvement?
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Numerical algorithms employing the ID imaging model and the 2D wave-guide scattering model were implemented to achieve high speed in simulating high NA i-line processes. The CPU consumption and the range of validity of the models used were discussed. The simulator was applied to study the possibility of imaging 0.35/mi lines and spaces(L/S) utilizing lenses of NA=0.55, 0.60 and 0.65 and single layer resist (SLR) processes.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The Rayleigh criteria for minimum resolution and acceptable depth of focus (DOF) in the case of finite excimer laser bandwidth with a chromatic lens design were re-evaluated both by experiment and by simulation. As a result of chromatic lens design combined with a narrow spectral bandwidth (BW) excimer laser, both resolution and DOF are not only determined by source wavelength and the numerical aperture (NA) of the lens, as predicted by Rayleigh criteria, but also by the laser spectral BW. To fully understand the role of laser spectral BW in chromatic projection printing, the resolution as a function of lens NA, laser spectral BW, and defocus were studied through simulation. The relations between resolution and its corresponding DOF for different laser spectral BW and NA were also obtained. The results were compared to that obtained by Rayleigh criteria. Unlike the single wavelength case, resolution at larger NA is basically limited by the spectral BW of the laser rather than NA of the lens system. The DOF is limited by both laser spectral BW and lens NA. The optimum NA for a given BW for different defocus cases were predicted. The effect of chromatic focus spread due to finite laser spectral BW was compared to the case of single wavelength with small amount defocus. Intel’s rigorous bulk image model has been used for process window simulations1. In the experiment, the effect of finite laser spectral BW to the pattern resolution and DOF were studied for the case of 0.42 NA chromatic lens with finite laser spectral full width at half maximum (FWHM) of 2 pm, 3 pm, 4 pm and 5 pm, respectively. The experimental results were compared to the simulation results.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Deep UV lithography is an enabling technology for the fabrication of 64 megabit DRAM class devices. Wafer steppers operating at 248 nm currently provide both the resolution and the overlay capability to meet the stringent reguirements imposed by next generation memory device design. To demonstrate this, a prototype 64 megabit DRAM has been produced using exclusively DUV lithography. We chose two resist processes: a single level
negative tone resist for noncritical levels and a surface imaging resist for critical levels. We show the process capability results for each resist approach, and discuss the advantages and disadvantages of each. We also discuss outstanding issues relating to implementation of deep UV lithography, including equipment reliability, resist performance and availability, and process throughput
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The reasons that imaging is tone-dependent come from two fundamental concepts: the aerial images of complimentary mask patterns for partially coherent projection systems are not complimentary, and the exposure reaction is highly non-linear in the concentration of the soluble species. Complimentary mask patterns are simply patterns of opposite tone. If mp(x) describes a positive mask pattern, then its complimentary mask pattern, mn(x), is given by mn(x) = 1 - ntp(x). For incoherent imaging systems, complimentary mask patterns result in complimentary images; however, partially coherent imaging systems do not produce complimentary images. For a first order exposure reaction, the concentration of the photosensitive species is exponentially related to the exposure energy. However, the dependence of the concentration of developer- soluble species on exposure is different for positive and negative resist systems, resulting in different exposure properties. The net result is lithographic behavior which can vary significantly with resist tone.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Contact structures represent some of the most challenging features to image using deep UV excimer laser lithography. A single resist process, exposure dose, and focal setting must compensate not only for variations in the reflectivities of gate (Le, polysilicon) and diffusion (i.e., oxide) substrates, but must deal with variations in underlying topographical features which create a non-uniform resist film over a non-planar imaging surface. Commercially available deep UV photoresists are negative toned, requiring the use of clear field photomasks for imaging of submicron contact structures. In this paper, we describe three strategies for enhancing the ability to image contact structures using currently available negative toned chemically amplified deep UV photoresists: (1) optimization of central exposing laser wavelength, (2) incorporation of anti-reflective coatings, and (3) optimization of resist film thickness for sidewall profile enhancement. These approaches should also enhance imaging of contacts using positive tone photoresists, once they are commercially available
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper describes an anti-reflective layer (ARL) suitable for use in sub-half-micron and quarter-micron KrF excimer laser lithography. Advantages of the new anti-reflective layer include improved critical dimension (C.D.) control with the resist thickness and reduction of notching caused by reflection from the substrate. In contrast to a well-known anti-reflective
coating (ARC) 1,2,3,4 is applied by spin coating, we studied amorphous carbon (a-C:H) film which we applied by plasma-enhanced chemical vapor deposition (PECVD). The new film has two major advantages: Its thickness is topographically conformal thanks to the CVD method, and it can be ashed together with the resist because it is an organic film. We determined the most suitable conditions for forming the a-C:H film, by experimental measurements of refractive index n and extinction coefficient k and by varying parameters in simulations. An a-C:H film only 350 Å thick provided sufficient protection against reflection and had a higher exposure/focus latitude than conventional films.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper describes a new anti-reflective coating (ARC) optimization methodology, and reports a practical new ARC material and its actual performance for KrF excimer laser
lithography as an application of this methodology.
First, the optimal optical conditions, refractive index and thickness, for ARC are defined
as those values that cause the minimal energy absorption fluctuation for various in a photoresist thicknesses. To find optimal optical conditions, we calculated the energy absorbed in a photoresist for continuously different ARC optical conditions using a multi thin-film interference simulator based on the matrix method for various photoresist thicknesses. As an application results of this method, we show optimal ARC optical
conditions, i.e. refractive indices for various thicknesses, for a tungsten silicide (W-Si) substrate, which is highly reflective and the critical layer for KrF excimer laser lithography.
Next, we searched for a practical material to be used as an ARC whose refractive indices
were closest to the optimal conditions. From these results, we found a novel and practical
material for optical lithography. Silicon carbide (SiC) films satisfied optimal optical conditions as an ARC for W-Si substrates. For the swing ratio, a photoresist absorption variation of ±21% without SiC was reduced to less than ±1% with SiC.
Finally, in order to achieve an ARC performance on actual structures, we optimized the
SiC refractive index as an ARC for W-Si substrates using various ECR Plasma CVD conditions.
As a result, we obtained a high performance ARC for W-Si substrates. A critical dimension
variation of 0.12pm without SiC was reduced to less than 0.02pm with SiC for 0.35pm L/S.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have performed a study of TM and TE polarization with a single wavelength and a broadband spectrum (14 nm in width) exposure. We also examined effects of using scalar and vector aerial images, as well as process latitude of the post-exposure baking.
We used SNR-248 negative deep-UV resist with an exposure energy of 24 mJ/cm2. The resist thickness employed was 1? over 0.28? silicon oxide over a silicon substrate. A typical line width and space studied ranged from 0.35? to 0.5?.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An investigative study of the dissolution behavior of acid hardened resists (AHR) was undertaken for spray and spray-puddle development processes. A unique tool, the Site Services DSM-100 End-point detection system, is used to measure both spray and puddle dissolution data for a commercially available deep ultra-violet AHR resist, Shipley SNR-248. The DSM allows in- situ measurement of dissolution rate on the wafer chuck and hence allows parameter extraction for modeling spray and puddle processes. The dissolution data for spray and puddle processes was collected across a range of exposure dose and PEB temperature. The development recipe was varied to decouple the contribution of the spray and puddle modes to the overall dissolution characteristics. The mechanisms involved in spray versus puddle dissolution and their impact on process performance metrics have been investigated. The PARMEX photoresist modeling program is used to determine parameters for the spray and for the puddle process. A lumped parameter AHR model developed at Intel was used in iPHOTO for simulation studies.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The resolution of optical microlithography is limited by light diffraction. The contrast for the resist structuring is to low in the neighbour hood of the diffraction limited resolution. Special technologies for e.g. phase shifting, phase masks and spatial filtering techniques have the goal to increase the contrast for the lithographical process in the neighbour hood of the diffraction limit. An improvement of resolution of 0.1 to 0.2 pm compared with conventional image transfer are possible by skilful application this techniques
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Recently, photolithography simulation above topographical substrates becomes a more and more interesting topic in submicrotechnology. Besides originating standing waves in the resist during exposure, substrate slopes cause specular reflections. Moreover one can state diffraction effects if geometrical dimensions are in the same order of magnitude like exposure wavelength. The worst case that might occur is the so-called concave mirror effect.
Different methods for field calculation are known from optical theory. Closed analytical treatments can be done merely for simple geometries. Direct solutions of Maxwells equations or Helmholtz equation as a boundary value problem by means of numerical methods (FDM or FEM/BEM) are difficult and computation time consuming. Highly sophisticated computers (especially massively parallel machines) are required to realize acceptable operation times.
We propose an alternative method, which is mainly based upon using the basic principles of Keller’s Geometrical Theory of Diffraction (GTD) and their uniform extension (UTD), namely the locality principle, the boundary diffraction wave representation and Keller’s ray conception which includes diffracted rays. The first step now consists in the separation of a given diffracting surface in such a way that analytical solutions for the separated regions are known (canonical problem). Particularly in the two-dimensional (2d) case, a topography can be approached by putting inclined (plane) faces together. This leads to the canonical problem of wedge diffraction, the exact solution of which was given at first by Sommerfeld. An asymptotic evaluation of his diffraction integral yields a partition of the total field in the geometrical-optical field and a diffracted field. In the 2d-case the latter is represented by a direction- and polarisation- dependent cylindrical wave, the inclination factor of which is denoted as diffraction coefficient in GTD. This GTD- coefficients fail both in the near of and directly on the geometrical-optical boundaries and the edge of the wedge. (We have to distinguish between the two shadow- and the two reflexion-boundaries.) In such transition regions UTD-coefficients guarantee sufficient accuracy of field calculation, as can be shown by a comparison with exact solution (convergent expansion of the diffraction integral). These extended UTD-coefficients consist of four terms, each of them is related to one shadow- or reflexion-boundary. Remaining problems (especially in the 3d-case) like curved wedges and comer- or vertex-diffraction are discussed shortly. Wedges with impedance faces (e.g. reflectivity < 1) are involved by the application of a heuristical method from microwave theory. Furthermore, geometrical-optical field calculation within the bounds of GTD is described in brief.
Based on our model explained above, a complete two-dimensional topography simulator was created. Running on a simple IBM-AT-386 the algorithm employs as much as or less time than the procedures basing on direct numerical solutions and requiring highly sophisticated machines. Resist bleaching is taken into consideration by several bleaching steps, according to Dill’s differential equation in their difference approach. Numerical results for typical simulation situations (notching, grain, trapezium, concave mirror) are presented and compared with literature. Additionally, special polarization effects could be predetermined by means of simulation and proved experimentally. Under certain conditions an essential improvement would be achieved by the application of polarized light
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A mechanically simple, high performance wafer stage is discussed in this paper, including detailed descriptions of the mechanical construction, laser gauging system, electrical configuration and servo control. This stage provides for simultaneous X, Y, and theta motion with an inherently rigid, monolithic platform propelled by Sawyer linear motors. The measurement of position, for closed loop control, is provided by four axes of interferometric laser gauging. Position control is accomplished with a power-efficient, robust, digital servo system. The enhanced wafer positioning precision capability of this stage offers accurate alignment in enhanced global alignment mode (EGA). Due to its low mass (weighing less than 18 lb.) the stage delivers optimal acceleration, velocity, and settling.
The stage has been incorporated in a 1:1 photolithographic wafer stepper. Its simplistic design incorporates a minimum number of moving parts and provides high reliability and low maintenance. This stage offers significant productivity advantages as demonstrated by the throughput specifications and the stage settling data reported in this paper. Overlay data using this system operating in EGA mode is also included.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The requirements for mask fabrication have increased dramatically with recent advances in lithographic techniques and new i-line, x-ray and deep UV systems. For example, phase shifting masks for 5x steppers require submicron phase shifters, tight critical dimension control and pattern alignment capabilities. X-ray steppers and other lx technologies require subhalf micron resolution and even tighter critical dimension control with excellent dimensional linearity. These requirements approach the limit of capabilities of traditional e-beam mask fabrication systems.
At TRW, a Hitachi HL-700D direct write on wafer e-beam tool has been used in a production environment for a half micron CMOS technology. This shaped beam vector scan system is also capable of mask fabrication. It offers unique mask fabrication capabilities due to the implementation of advanced proximity correction algorithms to maintain submicron dimensional control and line size linearity. The system also supports a 0.10 micron x-barplus three sigma alignment capability.
This paper will review a program that has been implemented to evaluate the ultimate resolution and overlay capabilities of the Hitachi system for mask fabrication. Subhalf micron mask resolution will be characterized and explained in terms of proximity correction algorithms. Different chrome etching techniques will be evaluated for critical dimensional control. Finally, an analysis of the effectiveness of the alignment system for phase shifting masks will be presented using applicable test structures
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Phase shift mask ( PSM ) is a useful technique to higher the resolution and focus margin in the lithography process with sub-half micron range, which is limited by currently used process tools. PSM with i-line stepper is one of the leading candidates for mass production of 64M Bit Dram. In this study, in order to obtain the information about the basic trend and process limitation of PSM, we evaluated the PSM technique by simulation and lithographic experiment. However several difficulties were encountered when attempting to use the PSM in real process. These difficulties were mainly due to the process margin and variation in munufacturing a real device.
We simulated PSM by changing numerical aperture, patial coherence, focus, and pattern size for g-line and i-line. Intensity profiles of patterns were monitored for both conventional and phase shift mask ( alternating t>ye ). With those simulation results, we first analyzed the aerial image and studied the trend and limitation of PSM technology. Then we compared these results with experimental results of g-line and i-line process. Although the simulation and the experimental results showed that definable resolution limit and the resolution with depth of focus ( DOF ) margin were significantly increased by PSM technology, it was hard to control the process due to the errors occuring in manufacturing PSM. The study for resolution limit with marginal depth of focus led us to optimize the method how to approach the PSM from simulation. In addition, the process limitation and lithographic trend of PSM were investigated as well.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper, we present performance, reliability, and maintainability data for the ELS-4000, a production-worthy, spectrally narrowed KrF excimer laser for wafer steppers. This laser uses the same modular design concept as its predecessor, the CX-2LS. The ELS-4000 exhibits the following specifications: (i) spectral bandwidth (FWHM) less than 2.0 pm; (ii) wavelength stability less than or equal to 0.25 pm; (iii) output power of 4 W at 400 Hz; (iv) pulse-to-pulse energy stability less than or equal to 2.5%; (v) fast and accurate wavelength slewing and locking capability; (vi) small footprint measuring 0.74 m by 1.36 m; (vii) mean productive time between failures exceeding 700 hours; and (viii) design and engineering features, which meet all the safety standards of
the semiconductor industry.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
It is no longer possible to have just the best technology in the semiconductor equipment and materials market and remain successful. Each product tends to last for only one generation of IC devices. This high rate of imaging technology change means that the material or equipment manufacturer must have a large base of expertise to keep up with the rapidly changing needs and requirements of the technology. Also necessary is a large source of capital to finance development, manufacturing, and testing equipment. Unfortunately, the sequence of short business cycles, with limited lifetimes for each lithographic technology, is expected to continue for at least another ten years, until some technology or technologies, with long lifetimes through a large number of device generations, is firmly in place.
The intent of this paper is to compare the potential IC manufacturing requirements, product timing, and the technological capabilities of i-line, DUV (248 nm), VUV (193 nm), and x-ray. From this we intend to project the technological potential of each. To do this we intend to determine the limiting resolution and DOF of each technology, evaluate the resist and processing capabilities, examine the engineering requirements. We will evaluate the impact of phase shift mask technology, surface imaging, and planarization and their impact on lithographic potential. From this information we will provide a comparison of these four technologies. This data will then be compared to IC device requirements and timetables from which a lithographic product need and lifetime relationship can be determined for each technology.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Experiments were performed to quantify the central laser wavelength setting which provided optimum imaging performance for two generations of deep UV steppers: GCA ALS Laserstep and GCA XLS Laserstep. Significant performance enhancements resulted by adjusting the central wavelength setting of the ALS system by -0.23 nm from the original baseline wavelength (point of minimum distortion). The baseline wavelength of the more advanced lens of the XLS system was closer to optimum, with acceptable imaging performance parameters over a >0.04 nm band. Lens characterization methodologies are described.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new wafer Rear Surface Alignment (RSA) technique for wafer stepper is proposed. Lithography systems such as wafer steppers are necessary to improve the overlay accuracy corresponding to resolution. For 0.1 ?m lithography, 0.03 ?m (3?) overlay accuracy is required. The RSA has the potential to obtain an overlay accuracy of more than 0.03 ?m (3?), because it is not affected in theory by resist film and asymmetric profile of patterns deformed by various device manufacturing processes. The RSA system, however, is affected by the thickness and tilt of a wafer; the process has been expanded to include the patterning of target marks on the wafer rear surface. These problems arise because the RSA system has not been widely applied to lithography systems. The new RSA called RECT alignment (using REar surface and Canceling Tilt effect) is independent of wafer tilt and dose not require any optics to detect wafer tilt or numerical compensator. The purpose of this paper is to propose the above RSA technique and to validate the RECT alignment optics. Experimental results are as follow: detecting error caused by wafer tilt of 50 ?rad is approximately less than 0.010 ?m. When the conventional sensor is used, on the other hand, the detecting error caused by wafer tilt is more than 0.03 ?m
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Variations in wafer substrate film stacks can have a significant effect upon the resist critical dimension (CD) and exposure level for layers patterned to fabricate advanced four level metal BIMOS devices. In the fabrication of these VLSI devices, patterning is frequently performed on film stacks of varying thickness and optical properties. PROLITH was used to simulate lithography behavior on actual device film stacks, and the results compared favorably to data collected from actual product wafers. Simulations can be used to accurately predict the exposure changes needed to compensate for changes in film thickness and film stack upon CD. Good agreement was obtained for most cases studied, with less than 3% deviation between the experimental and simulated results being typical. In most cases, the PROLITH simulated data and empirically determined results were in good agreement. Thin film reflectivity is also observed to have a strong influence on CD variation. In via patterning experiments, for example, vias printed with only resist exhibited “reflective notching dominated” CD 3-sigma variation of 0.10 pm greater than that observed where an optimized ARC process was employed under the resist to minimize substrate reflectivity. The significant improvements in CD variation have been generally correlated with reductions and/or optimizations in substrate reflectivity. Electrical probe CD data for backend metal layers has also been evaluated for thin film notching behavior, and as seen for via layer notching, the CD variation is minimized by applying the results from PROLITH reflectivity analysis. The significant improvements in CD variation have been correlated with the reduction and optimization of substrate reflectivity, which is determined by the combination(s) of dielectric and resist contributors.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Thickness variations in photoresist caused by substrate topography, and normal variations in deposited thin films, arc unavoidable sources of linewidth variation in optical lithography. Thin film interference effects cause exposure to vary by large amounts. A new approach to controlling these effects is the use of a top antircficctor (TAR) film on top of the photoresist. In this paper, the performance of a process using a water soluble TAR material is described. Simulation and experimental results arc given which show the effectiveness in controlling wafer reflectivity, and exposure dose in the presence of varying insulator and resist films. The effect of the TAR process on focus and exposure latitude is examined and initial results from device manufacturing are presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A narrow band KrF excimer laser system for lithography has been developed. Its durability has been proved up to 2 billion shots that correspond to one year operation in mass production line. The system has kept the average power of 6W with the power stability within +- 3% and the spectral line-width 1.2 pm (FWHM) with the wavelength stability within +- 0.2 pm. The integration of the spectrum has indicated that 95% of the spectral energy lay inside 4.6 pm band during 2 billion shots
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
By using two-dimensional simulation, dependence of light intensity contrast on numerical aperture (NA),
coherence factor (a) of i-line stepper, shifter-width, phase error and slope angle of phase shifter edges have been
investigated. For the slope angle of 90° and the shifter phase of 180°, the highest contrast is obtained for NA=0.65 and a=0.3. As the slope angle becomes to be small, contrast degrades remarkably for high NA(=0.65). On these simulation, 0.18pm resolution limit of isolated space pattern is successfully realized using an image reversal resist process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper we discuss the feasibility of using direct electron beam lithography in the production of a new type of photomask suitable for photolithography with both the g mercury line and KrF deep UV lasers. The masking effect is produced by the presence of molecular type defects - color centers - which show strong absorption bands in the visible and deep UV. The centers are created in a superficial layer of Lithium Fluoride (LiF) crystals by direct electron beam irradiation. A simple mask is presented and the photostability of the centers when submitted to various visible and UV photo-transposition steps is studied. The visible absorption band can withstand ~ 1000 exposures while the UV band can be used - 50 times. The use of this material reduces the number of necessary steps in photomask fabrication, eliminating the need for chemical procedures.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
With device fabrication costs escalating dramatically each year and competition intensifying on a global level, chipmakers are seeking alternative equipment and fab designs to obtain an economic and technical edge. Nowhere is this more apparent than in the lithography area where equipment decisions greatly influence both the cost and performance of the production facility.
This economic incentive has led to extensive use of intermix lithography. With this approach, less costly, more productive systems can be used to pattern the noncritical layers while saving the expensive, advanced performance tools for the critical levels. In the past, the majority of intermixing has occurred between steppers and projection aligners. However, due to increasingly complex device designs, intermix applications have expanded to include 1:1 steppers for noncritical levels, and reduction steppers for critical layers. The economic advantages inherent in this methodology are substantial. Productivity is increased and cost- per-wafer processed reduced for even the most sophisticated ICs.
This paper will describe a lithographic intermix process for a high volume, l|im CMOS process using Ultratech 1:1 and Nikon 5:1 steppers.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
To measure film thickness profiles over substrates with surface topography in situ during spin coating, we use a pulsed laser, a microscope, and a 35-mm camera to stroboscopically create and image interference fringes. The measured film thickness profiles are compared to predictions from a model of the coating flow which accounts for centrifugal, capillary, and viscous forces. This model has been extended to include both radial and azimuthal variations so that the surface contours around fully three-dimensional features may be calculated. Profile asymmetry in the radial direction, known as "pile-up", is caused by surface tension forces near the edges of the feature that accelerate flow where the channel (the film thickness) narrows and retard flow where the film thickness widens.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Abstract
Non printing phase transitions will be useful for the general application of phase shifting masks for projection lithography. Phase shifter transitions with a moderate variation of the transmitted light were fabricated using ion milling. A progressing shadow and a corresponding variation in etch depth along the transition was achieved by changing the tilt angle of the sample during the process. Simulations show the improved performance of a gradient transition as compared to step transitions with one 90° intermediate layer. Transitions featuring a continuous slope exhibit reduced linewidth variation and smaller intensity dips. The gradient transitions were formed in theSi02 phase shift layer on top of the chromium layer on the masks. The ion milling with rotating sample was simulated to predict the slope shape and etch rates. The predictions obtained from the etch simulations were in agreement with experimental results. Ion milled gradient transitions were tested by exposing and developing positive photoresist. The resist pattern verified the simulation results.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The possibilities of in-process blue image sensing by using only the implemented darkfield TTL alignment system of a stepper are investigated. It is shown, that all overlay related parameters of a PAS5000/50 and /70 stepper such as red-blue, magnification, rotation and translation can be measured from an enhanced latent image in a special dyed resist but also with some reduction in accuracy in a pure resist only. Results of overlay measurements on typical technological layers and of similar experiments based on latent image sensing in dyed and in pure resist are given, indicating the capability of in-situ overlay control and correction on process wafers. A new alignment target sensing (ATS) technique of focus measurement using the alignment detection system of the stepper for both daily focus control and correction as well as for focus setting on process wafers with typical technological layers is introduced. The method uses special designed alignment markers containing lines and spaces at the working resolution. Results are given for sensing the developed resist pattern as well as the latent image for an i-line and a DUV stepper. The applicability is demonstrated by measuring the influence of varying resist layer thickness and of varying oxide layer thickness of various film stacks of technological layers on optimum focus. The validity of these results is proven by comparisons to other focus measurement techniques such as chessboard and SEM linewidth measurements. A mathematical model based on the diffraction theory of thin gratings has been developed to support the marker design as well as to calculate the Image Quality Signal (IQS) vs. focus/exposure curves of developed images. The model has been verified by experiments.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Repairing phase shift structures on phase shift masks (PSMs) presents formidable challenges. Requirements for PSM repair go far beyond those needed for conventional chrome masks, where pinholes are made opaque and pindots are removed from the surface with what are essentially two-dimensional processes. Defects in the phase material, such as inclusions, chips, or excess material must be repaired to leave optically correct, three-dimensional structures. Unavailability of equipment and methods to handle phase shift defects is one of the greatest barriers to the routine commercial acceptance of phase shift mask technology.
In this paper we will demonstrate multiple exposure (or "vote-taking") lithography methods for eliminating the effect of PSM defects on the wafer. Two (or more) exposures are made for a single wafer lithography level using multiple masks. The probability of a random defect occurring in the same location on separate masks is virtually nil. Therefore, dark defects - the consequence of defective phase structures - caused by any single exposure are overprinted by the other exposure(s).
This technique also can provide relief from other potential error sources unique to PSMs. Wafer CD uniformity can be improved. Dimensional variations caused by transmission differences between phase and non-phase features will be averaged out by reversing the phase sense among the masks. PSM layout flexibility is enhanced. Normally, abrupt phase transitions (between 0° and 180°) within a bright region will print a dark band. By eliminating this "defect" through complementary exposures, such phase transitions can be used to help meet the boundary conditions imposed by a PSM layout.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The effect of 193-nm excimer laser radiation on pellicles designed for 248-nm use has been studied. The pellicles are transparent at 193 nm as well. However, prolonged irradiation causes gradual thinning and eventual rupture of the pellicle. The rate of change depends on the fluence and on the total dose, but is not affected by the presence of atmospheric oxygen. Two-photon absorption plays an important role in the interaction between the laser and the pellicle material. Extrapolation to the -0.1 mJ cm”2/pulse fluences expected to be used in 193-nm steppers indicates that these pellicles will change little for several years in a full production environment
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A novelmicrolithographysystem with high precision and high performance is suggested in this paper. In the system,
sub-micrometer focused laser beam was used to carve spot by spot on a disk, which was covered by photoresist and was
mounted on a uniform turning stage. Pre-designed pattern can be obtained by two dimensional scanning which was realized
by using a high precision turning stage and a high precision radial moving stage. The system has the advantages of high
speed ( > 10meter sec for single laser beam), high precision (angle precision better than 0.2"), simple structure and using
low price objective. We can not only make very large pattern (> 1011pixels), but also make a set of (eg. 5-6 pieces) masks
using in LSIC production on one disk. Detailed principles and performances of the microlithography system will be
introduced in this paper.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper describes a novel i-line lithography by phase-shifting on the substrate (POST). With POST, 0.2?m resist patterns have been achieved by using an i-line stepper and conventional masks without phase shifters.
It was confirmed that fine patterns were formed by the phase-shifting effect in the resist on the substrate. Simulation suggests that POST has a better resolution than a phase-shifting mask.
This method consists of simple processes and is expected to be useful in the fabrication of deep-submicron patterns for ULSI.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new model is presented to calculate the electromagnetic fields inside a resist according to vector potentials. The model can handle three-dimensional electromagnetic fields with fewer variables than Maxwell’s equation. The perturbation theory is applied to this model to describe the resist bleaching process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
One of main directions in practical application study of excimer laser lithography is broadband excimer laser lithography. We have developed a new 1:1 broadband catadioptric lens, ( NA:0. 36, field size: 10X10 mm2, wavelength: 308 nm). A special silicon oil is used to cement between quartz glass lens and CaF2 lens, and between CaF2 prisms and quartz glass len6. When excimer laser exposure dose is 220 mJ/cm2.pulse, silicon oil is not evaporated. The transmission of cemented surface is good. We have studied the mask damage in XeCl excimer laser exposure,Three mask damages are discovered .The damage threshold of mask is 300 mJ/cm2.pulse.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Excimer-laser-based steppers have matured to a production-worthy state. Widefield high-NA lenses have been developed and characterized for imaging down to 0.35 micron and below. Excimer lasers have attained practical levels of performance capability and stability, reliability, safety, and operating cost Excimer stepper system integration and control issues such as focus, exposure, and overlay stability have been addressed. Enabling support technologies - resist systems, resist processing, metrology and conventional mask making - continue to progress and are becoming available.
This paper will discuss specific excimer stepper design challenges, and will present characterization data from several field installations of XLS™ deep-UV steppers configured with an advanced lens design.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Many lithographic approaches to achieving 0.35 micron IC design rules have been proposed. Several years ago, the primary candidate was x-ray lithography. Today it is generally acknowledged that an optical approach will be used for such design rules. Both deep UV and i-line stepper technologies have progressed with capability to achieve 0.35pm design rules. High NA, wide-field lenses now exist for both deep UV and i-line [1], With the renewed interest in phase shift technology, i-line capability at 0.35pm design rules is comparable to deep UV technology.
The development of a stepper architecture that allows both wide-field i-line and deep UV lenses to be accommodated in the same body and using thru-the-lens, direct-reticle-referenced alignment method [2] is reported. Common improvements in the areas of stage, die-by-die leveling and environmental control allow exceptional overlay performance to be achieved for both i-line and deep UV. The use of common architecture and the same alignment method facilitates the optimum mix and match combination of i-line and deep UV at
0. 35?m design rules
Experimental investigation of stepper performance is reported in comparison to criteria established for design rules at 0.35pm. Overlay is evaluated on substrates typical of CMOS IC manufacturing. Lithographic performance is investigated using conventional techniques as well as more advanced techniques including phase shift reticles.
Results indicate that overlay performance on tested substrates meets the requirements for 0.35?m design rules. Lithographic results indicate that 0.35pm lines/spaces are achievable using both conventional i-line and deep UV techniques, however, the implementation of phase shift reticles enhances the process latitudes for i-line at 0.35?m.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have established a new photolithographic technique called SHRINC ( Super High Resolution by I I tumi-Nation Control ) which is based on an innovative illumination system. SHRINC improves the resolution and depth-of-focus ( DOF ) by optimum arrangement of the illumination system in respect of the angle of the Ist-order of diffraction generated by the reticle pitch.
The capabilities of SHRINC have been studied by computer simulation. Results from phase shift, annular illumination, and conventional illumination are compared with those of SHRINC. The results show that using SHRINC with 0.35? m line and space patterns, the DOF, defined as the distance over which the aerial image contrast exceeds 60%, is 2. 5x larger than that obtained with conventional illumination, and almost the same as that with phase shift techniques.
In our experiments we have obtained a critical resolution of 0. 275 ? m and more than 2.8 ? m DOF with 0.35? m L/S patterns, using an i-line stepper and SHRINC illumination.
Moreover SHRINC is effective not only for simple line and space patterns, but also for complicated patterns with 0.30 or 0.35?m design rules, such as memory cell patterns or peripheral circuit patterns in the DRAM.
From these results we conclude that i-line steppers with SHRINC will make possible mass production of 64M-DRAMs with single layer resist.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In order to improve resolution and depth of focus (DOF) in reduction projection aligner, we investigate annular illumination method, in which the center portion of light source is screened.
This paper describes the relationship between resist pattern formation capability and the screened ratio of condenser aperture. And also, the pattern deformation induced by annular illumination is investigated.
First, on the basis of simulation analysis of this illumination method, the optimum optical parameters were selected to obtain high resolution and enough DOF. The effect of annular illumination was confirmed experimentally in i-line exposure. Secondly, to explore optical contrast dependence, we compared the resolution capabilities of both positive and negative resists, having different dissolution characteristics each other. It was found that annular illumination is more effective in low contrast region of light intensity. Thirdly, the dependence on pattern periodicity (L/S and isolated line), and pattern deformation were also examined. This method is effective in periodic pattern but not in isolated pattern, and induces a little pattern deformation in the edge region.
Annular illumination that is a simple method compared with phase shift mask, is a promising for expanding the process margin of 0.35 ?m resist patterning. Furthermore, this method becomes more effective, if adapting thin resist or new high resolution resist, which can be resolved even in low contrast light condition.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A stepper operating at the 193-nm wavelength has been constructed for use in the development of resist processes. The stepper lens has a 4-mm field diameter and a 0.33 NA. The stepper uses an unnarrowed ArF excimer laser as the light source, and uses diffractive lenslet arrays to transform the low divergence excimer beam into a suitable pupil fill. The stepper is routinely used for resist studies and has been used to pattern lines and spaces as small as 0.15 ?m.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Two-photon excitation in laser scanning photolithography allows exposure of patterns not possible with conventional one-photon direct writing. In our experiments two red photons from a highly focused subpicosecond colliding pulse mode-locked dye laser are simultaneously absorbed by initiator molecules to affect a photochemical reaction that is normally driven by single-photon absorption using ultraviolet light. The quadratic dependence of the two-photon absorption rate on the incident intensity confines excitation to a submicron focal volume. By scanning this volume in a 3-d pattern through a thick layer of photoresist it is possible to expose arbitrary three dimensionally defined regions. Preliminary results showing half micron wide trenches of very high aspect ratio, and resist structures with undercutting edges, all produced with only a single development step, demonstrate. the potential utility of two-photon excitation in microfabrication.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Total internal reflection holography permits high resolution, non-contact lithography over very large fields. A prototype lithographic system has been constructed employing scanning illumination, focus and alignment subsystems integrated onto a 4" wafer handling mechanism. Resolution down to 0.25u has been achieved with a present alignment capability of <1u. A fine alignment system under development has been demonstrated to be capable of <0.1u accuracy. The technology is well suited to many applications, including flat panel displays, DRAMs, surface acoustic wave devices and optical storage disks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new vector (true two-dimensional) optical lithography modeling program, METROPOLE, is presented which incorporates arbitrary mask geometries. This rigorous model is thus ideally suited for the simulation of phase shifting masks. The efficiency of the electric field calculations, both within the mask and on the substrate structures, allows its use on accessible engineering workstations. Several simulation examples are presented, and include the new phase shifting on the substrate technique (POST).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An ultra-high resolution stepper is proposed, which combines annular illumination and a complementary conjugate spatial filter. This technique reproduces deep submicron complex non-repeated patterns by relatively emphasizing the diffracted light from high spatial-frequency patterns. Images of several patterns are simulated for a conventional stepper, a stepper with phase shifting, and for the proposed technique. The results indicate that this technique has the potential to pattern 0.3-|im images using an i-line stepper with 0.5 N.A. with conventional masks. It should enable stepper ranges to be extended to the next generation of DRAMs.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The bandwidth requirements 1, 2, 3, 4, 5 on DUV 248 nm lithography laser sources, in general, are a function of a number of physical parameters. For example, the stepper lens, the image field size and the required resolution.
The trend towards larger field sizes in new DUV-stepper lenses has resulted in a bandwidth need of below 2 ?m for a lorentzian spectral distribution.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
For deep submicron lithography, reduction of linewidth variation due to topography is critical. While advanced resists are available which demonstrate wide process latitude on flat substrates, their performance on realistic topography is not adequate. A new method of predicting performance over topography using data taken from flat substrates is described. The method uses data from both maximum and minimum incoupling resist thicknesses to determine the overlapping depth of focus (ODOF). The usefulness of the ODOF approach in predicting process latitude for substrates with topography is shown.
Results for both I-line and deep UV imaging on poly gate level topography are presented. Results obtained with 2 top anti-reflection (TAR) layers (Hoechst Aquatar and a non-commercial TAR material) are compared to those from a dyed resist, an anti-reflection coating (ARC) and a contrast enhancement material (CEM). Methods of determining the optimal TAR thickness experimentally are presented. TAR materials give the best results for I-line.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The research of ways to increase the degree of integration and the functional possibilities of integrated circuits in recent years has resulted in the development of new investigations directed to the creation of new technological processes with high resolution. In the developing of submicron and nanometer technologies, physical and technical difficulties appear that are more critical in comparison with the problems of "old" classical microtechnology
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In present time six types of siliconorganic materials are commonly used in microelectronic, technology: polysiloxanes, polysilozanes, polysilmethylenes, polysilphenylenes, organic polymers with silicon getheroathorns and polysilanes [1].
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In present time six types or siliconorganic materials are
commonly used in microelectronic. tecImolog: polysiloxanes,
polysilozanes , polysilmethylenes , polysilphenylenes , organic
polymers with silicon getheroathoms and polysilanes [1 ] .
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.