PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
The surface modification resist process, which gives negative-tone image owing to the polysiloxane formation on the expected resist surface using a chemical vapor deposition, was studied for ArF lithography. We have designed the resist polymer for improving the resolution, the pattern profile and the sensitivity. We developed the polymer having a photoacid generating unit and a polarity change unit for improving the resolution. Though there was a problem of the pattern degradation by heating in the dry development using a TCP etcher, 0.13 micrometers pattern was achieved with steep wall profile by introducing the thermally stable alicyclic unit to this polymer system. Moreover, we investigated the polymer having a photoacid generating unit and a hydrophilic unit for improving sensitivity. It was found that the introduction of an adequate amount of the hydrophilic unit was effective for improving the sensitivity.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Recently reported ArF positive photoresists can be classified into three groups: derivative methacrylate copolymers (methacrylate resist), methacrylate copolymers functionalized with pendant alicyclic moieties (alicyclic resist), and cycloolefin-maleic anhydride copolymers (cycloolefin resist). Each system has its own advantages and drawbacks from the viewpoint of lithographic properties. Methacrylate resist has been used for the assessment of ArF lens performance. However, it is hard to apply them in real device process because of its low plasma-etch resistance. Previous studies have reported that alicyclic resists possess adequate etch resistance with the help of cyclic carbon units, however these resists show poor adhesion and seldom dissolve in 2.38% TMAH developer. The cycloolefin resists will be good candidate for addressing the trade-off between etch resistance and requisite material properties for lithographic performance because these resists, unlike methacrylate-based resists, contain large quantities of alicyclic structures directly in the polymer backbone and such properties as dissolution, adhesion can be readily controlled by incorporation of -COOH and -OH functional groups into cycloolefin structures. We have synthesized poly(2-hydroxylethyl 5-norbornene-2-carboxylate/t-butyl 5- norbornene-2-carboxylate/5-norbornene-2-carboxylic acid/maleic anhydride; HNC/BNC/NC/MA) resists with a variety of functional groups and obtained lithographic performance using ArF stepper (0.6 NA). In this paper, we will describe the basic idea for designing of the novel olefin resist and demonstrate lithographic capabilities, especially in terms of process window for 130 nm feature. We believe that both off-axis illumination and bottom anti-reflective technologies should be applied in order to obtain wider process window.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Novel ArF single layer photoresists, which are based on new type of alicyclic polymers prepared by ROMP (Ring Opening Metathesis Polymerization), are reported. Norbornenes with ester group were mainly used as monomers for polymerization. The prepared resins were potentially endowed with alkaline developability by hydrolyzing the ester groups. Hydrolyzed resins showed developability to conventional aqueous TMAH developer. The polymerized resins were hydrogenated onto the double bonds in the polymer main chain in order to improve the transparency of the resins at 193-nm. The hydrogenated ROMP (ROMP-H) resins showed high transparency at 193-nm and equivalently good etch resistance to that of KrF deep UV resist. The formulated resist with a ROMP-H resin and triphenyl sulfonium trifluoromethanesulfonate resolved 0.28- micrometers L/S in KrF exposure (N.A. equals 0.50) and 0.225-micrometers L/S in ArF exposure (N.A. equals 0.55).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Deblocking reaction mechanisms and lithographic performance in chemically amplified positive ArF resists were investigated by analyzing acid concentration and blocking level. The resists consisted of triphenylsulfonium triflate as a acid generator and either the copolymer, poly(carboxy- tetracyclododecyl methacrylate70-co- tetrahydropyranylcarboxy-tetracyclododecyl methacrylate30) or the terpolymer, poly(tricyclodecylacrylate60- co-tetrahydropyranylmethacrylate20-co-methacrylic acid20). The deblocking reaction mechanisms were evaluated from Arrhenius plots of the deblocking reaction rate constant. It was found that the deblocking reaction of both resists is ruled by two rate-determining steps, i.e., reaction-controlled in the low-temperature region and acid- diffusion-controlled in the high-temperature region. Furthermore, the copolymer resist had better post-exposure- delay (PED) stability. To clarify this result, acid loss caused by air-born contamination effect on deblocking reaction was investigated. The change of amount of blocking group by acid loss was small for the copolymer. Therefore the copolymer resist had better PED stability. Furthermore, the post-exposure bake (PEB) sensitivity of linewidth of the copolymer resist was smaller than that of the terpolymer resist. Both deblocking reaction rate constant and reverse reaction rate constant of the copolymer resist increased with PEB temperature. As a result, equilibrium constant of the copolymer was not valuable with temperature. This is the reason why the copolymer resist has low PEB sensitivity. It is concluded that small acid loss effect on deblocking reaction induces better PED stability. A resist with reverse reaction has an advantage for PEB temperature sensitivity.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We evaluate the adhesion characteristics and relationship between work of adhesion and structure of polar-alicyclic polymers we developed for use in ArF excimer laser lithography. We found that the adhesion of the polymers and resists in both the alkaline-developer and water circumstances depends on the work of adhesion in air. Stronger adhesion can be obtained with higher polarity of polymer films caused by the higher surface free energy due to hydrogen bonds. The polarity of polymers and functional groups is evaluated with their relative dielectric constants. With the standard developer (2.38% tetramethylammonium hydroxide aqueous solution), the chemically amplified resist based on poly(carboxy- tetracyclo[4.4.0.12,5.17,10]dodecylacrylate- co-hydroxy-tricyclo[5.2.1.02,6]decylacrylate) forms a 0.18-micrometers L&S pattern by the ArF excimer laser dose.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
To circumvent the difficulty in seeking a balance between dry etch resistance and the transparency at 193 nm in the design of a single-layer-resist for ArF lithography, a new strategy based on the de-coupling of these two criteria from each other is presented. The possibility of the de-coupling has been demonstrated by imparting dry etch resistance to resist matrix after the exposure step. Imparting of dry etch resistance can be achieved with the utilization of thermal- activated reactions during post exposure bake or plasma- activated reactions during etching. Specifically, copolymers containing acrylonitrile were synthesized and evaluated as a demonstration. Chemical reactions, especially cyclization reaction, in the copolymers upon heating were investigated. Intramolecular cyclization of the nitrile groups, which is electrophilic reagent catalyzed, starts at about 130 degree(s)C in a copolymer of acrylonitrile containing 50 mol% methacrylic acid. The reaction results in rigid ring structures with satisfying dry etch resistance. Dry etch resistance of the copolymer after thermal treatment was measured to be up to the same level of a poly(hydroxystyrene)-based commercial resist. Partially protection of the acid component by introducing tertiary- butyl ester groups provides new chemically amplified resist candidates. The materials based on terpolymers of acrylonitrile, tertiary-butyl methacrylate and methacrylic acid well satisfy the basic requirements for ArF resists with high transparency at 193 nm and excellent dry etch resistance after prolonged post exposure bake. Lithographic performance of the newly designed materials are currently under further assessments..
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In order to establish surface imaging process using O2- RIE on ArF lithography, silicon containing bi-layer resists have been investigated. We synthesized cyclohexyl pendant silsesquioxane polymer to obtain high transparency at ArF wavelength. This polymer has ladder siloxane structure with high Si density (13 wt%), over 80%0.35 um transmittance at 193 nm, and has carboxylic acid partially protected by acid labile group for TMAH aq. development. Addition of base enhanced the slope of deprotecting reaction and suppressed acid diffusion. The function of specific amines as acid quencher was considered to cause `Proton-Jumping'. Substituting of carboxylic acid by alcohol type polar linkage increased alkali tolerance, adhesion force and polymer Tg. Standard 2.38wt% TMAH developer was applied and expanded the dissolution rate gap. For further improvement of the resolution, we increased alkali tolerance by introduction of tricyclodecanyl pendant and optimized alkali concentration of developer. As a result, suitable dissolution curve for surface imaging resists was realized and rectangular patterns were observed on bottom ARC.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Single layer resists for 193 nm based upon resins derived from alternating copolymers of cycloolefins and maleic anhydride will be discussed. Our past work has examined the effect of polymer structure and composition, dissolution inhibitor structure and loading as well as the effect of the photoacid generator on the resist dissolution properties. In this paper, we will report upon on some of our recent investigations aimed at improving performance by use of a new class of photoreactive additives, photogenerators of aminosulfonic acids. One example of these, bis(t- butylphenyl)iodonium cyclamate, will be shown in our high activation 193 nm single layer resist system as being a useful photodecomposable base additive capable of limiting acid diffusion and alleviating post exposure bake delay effects. Finally, we will describe the utility of these materials in low activation energy (acetal based) resist systems.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We introduce here a novel approach to highly EUV transparent, carbon dense polymers for application as photoresist materials. The backbone of the prototype polymer consists of bicyclic hydrocarbons spiro-fused to cyclohexane moieties decorated with pendant t-butyl esters. This high polymer is formed through the free radical cyclopolymerization of functionalized norbornane derivatives. Imaging experiments conducted at 193 nm demonstrate features below 0.15 microns.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Recent advances in the single-layer resist for forming finer patterns have led us to a search for new resist materials for the ArF excimer laser. We describe a novel, environmentally friendly, single-layer resist based on a menthyl acrylate copolymer protected with a cleavable alicyclic group and the absorption band shift method.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The interest in imaging materials with improved environmental characteristics has led us to consider imaging formulations coated from and developed in aqueous media, thus avoiding the need for both organic solvents and basic aqueous developer solutions. We have previously reported on the design of several negative-tone resists operating via radiation-induced crosslinking, and while the performance of these negative-tone systems met our basic goals, the resolution that could be achieved was limited due to swelling occurring during development. We now report on various other designs based on polyoxazoline, poly(vinyl alcohol), and methacrylate resins that circumvent this problem with approaches towards both negative- and positive- tone systems.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have examined the reactive ion etch (RIE) resistance of two families of 193 nm photoresist candidates, poly(methacrylates) and vinyl-polymerized poly(cyclic olefins), in three RIE processes. Correlation of these measurements to polymer structure and composition using known methods (Ohnishi and Ring Parameter fits) was moderately successful in demonstrating global trends but proved generally inadequate for providing quantitative predictions. To address this shortcoming, we have developed a new empirical structural parameter which provides a much more precise model for predicting RIE rates within a given family of polymers. The model is applicable across polymer platforms, with two caveats: (1) The methacrylate and cyclic olefin families examined to date fall on essentially parallel, offset curves when examined with the new model, (2) The offset between polymer family curves is RIE tool- and process-dependent. While these caveats imply a setback to the idea of a truly `universal' model, they may in fact represent a powerful and unanticipated feature; the model appears to separate chemical RIE processes which affect individual functionalities within a polymer from predominantly polymer-family dependent processes such as global backbone degradation. In the course of conducting these studies, we have encountered several potential pitfalls in the measurement of etch rates. These illustrate the complex nature of plasma: resist interactions and highlight the careful experimental design and controls that are required if meaningful RIE rate comparisons between polymer and resist families are desired.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The 193 nm photoresist generation will need several technological approaches in order for it to be successfully integrated into manufacturing. These approaches include bilayer, single layer and top surface imaging resists. Bilayer resists offer the advantages of thin film imaging (resolution, depth of focus) and potential advantages in plasma etch resistance due to the possibility of incorporating aromatic components into the undercoat. We have developed a prototype bilayer resist system based on a silicon containing methacrylate imageable layer and a crosslinked styrenic copolymer undercoat which has shown 0.13 micrometers resolution. In this paper we will discuss the effects of O2-RIE and polysilicon etch on resist and substrate profile, selectivity and iso-dense resist.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Thermal decomposition of silyl substituted methacrylate terpolymers containing acid sensitive groups was studied using thermogravimetric analysis. The onset of decomposition was found to be a function of the microenvironment, i.e. the molar% composition of the acid sensitive group monomer. The Flynn and Wall method of estimating Ea was used to estimate the Ea for decomposition of the terpolymer and where possible, for the acid sensitive group.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
High resolution performance down to the 0.13 micrometers level is demonstrated in a methacrylate resist with pendent polycyclic side groups. The best performance is achieved with a bottom coat although interactions with the resist were still observed which led to the presence of scum in fine lines and to a large dose change relative to silicon. The demonstrated dry etch rate of the resist was found to be about 10% higher than APEX-E; predictions based on the ring parameter would have led us to expect a more favorable etch rate. The observed discrepancy has led us to speculate on possible exposure of the resist by the plasma environment and loss of the etch resistance polycyclic unit through evaporation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Dry developable bilayer resist systems offer high resolution capability and wide focus windows due to the thin imaging photoresist layer that is applied on top of a thick light- absorbing and planarizing bottom resist. Since 1995, Siemens uses the CARL bilayer resist process as a commercial available i-line version in its high-volume DRAM and logic IC production for patterning of half-micron features over severe topography. For application of this process in high resolution lithography, the chemical biasing of photoresist structures, achieved by a separate silylation step, can be used for a dramatic increase in focus latitudes at k1 <EQ 0.5, even with standard illumination and COG masks. In our paper we will discuss this effect and focus on first results with a further developed CARL resist system for application in 248 nm and 193 nm lithography, respectively. With such a dual-wavelength bilayer resist, early process development and optimization is possible for the 193 nm technology by using the already implemented 248 nm exposure tools. Consequently rapid and easy access to sub-150 nm structure dimensions is given by simply switching the exposure wavelength from 248 to 193 nm at a time when the 193 nm exposure tools are mature for production.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The maturity and acceptance of top surface imaging (TSI) technology has been hampered by several factors including inadequate resist sensitivity and silylation contrast, defects and line edge roughness and equipment performance/reliability issues. We found that the use of a chemically amplified resist can improve the sensitivity by a factor of 1.5 - 2X, without compromising line edge roughness. While the post-silylation contrast of this chemically amplified material is poor ((gamma) < 1), the post-etch contrast is excellent ((gamma) >> 10) and the use of advanced silylation chemistries (disilanes) can further reduce the dose-to-size and increase the contrast. We have also demonstrated that using sulfur dioxide in the plasma etch process can improve the sidewall passivation of the resist lines, thus reducing the overall line edge roughness. Finally, we have been able to successfully use the TSI process to pattern deep sub-micron polysilicon and metal patterns.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The large variety of protecting groups that can be employed for acrylate based resists increases the number of mechanisms encountered when implementing these new materials. Some of these phenomena, related to both their physical and chemical properties, are investigated.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A negative-tone silylation process for 193-nm lithography has been investigated using chemically amplified resist that is based on poly(methacrylate) and poly(hydroxystyrene). Using polymethacrylate whose carboxyl groups were protected, we obtained negative-tone characteristics and a high sensitivity of 15 mJ/cm2. However, polymethacrylate didn't show a good pattern profile because of its poor thermal stability and low etching durability, thus further investigation is needed. In the case of polyhydroxystyrene, whose hydroxyl group is protected, there was not the problem observed in the polymethacrylate, and investigated the optimization of the resist. As a result of the optimization of photo-acid generator (PAG), we could obtain a good pattern profile of 0.11 micrometers L/S with the sensitivity of 400 mJ/cm2. It is thought that high sensitivity is dependent on the PAG that is selected. In our experiments, no swelling was observed after silylation unlike the case of positive-tone process. This phenomenon suggests that the swelling of the silylation layer compensates the loss of film thickness during deprotection reaction. Therefore, the negative-tone TSI process is considered to have the advantage of a resolution higher than that of a positive- tone process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We examine the process margins of chemically amplified ArF resists designed for the single layer process. We measured the dissolution characteristics and investigated how the dissolution contrast affected the lithographic performance. We confirmed that high dissolution contrast can improve both the resolution and process margin. A 0.13 micrometers line and space pattern can be obtained. However, the depth of focus is not good enough for device fabrication. To achieve an acceptable process margin, we applied resolution enhancement techniques to the high-contrast resist. Applying off-axis illumination and an attenuated phase-shifting mask can greatly improve the process margin. 1.2 micrometers and 0.8 micrometers defocus margins were obtained at 0.15 and 0.13 micrometers line and space patterns, respectively.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Bottom anti-reflective coatings (BARC) are useful to suppress the problems associated with reflection. In addition to matching the basic properties such as strong absorption at the exposure wavelength, and high etch rate, a commercially successful deep UV BARC material should be adaptable to as many chemically amplified resists as possible. A photoresist solvent compatible organic BARC material needs to have a minimum of two functions i.e., a dye to control the reflection, and a hardening agent or cross-linker to avoid intermixing with the resist cast on it. The dye and hardening components can be included to the BARC formulation in the form of additives or as an integral part of the polymer. We have designed novel BARC materials containing the dye and hardening function in the same polymer. Optionally, a third function can be incorporated to optimize the etch and solubility characteristics.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have designed and developed new silicon containing methacrylate monomers that can be used in bilayer resist systems. New monomers were developed because the commercially available silicon monomers were found to be unsuitable for our applications. During the course of the investigation we determined that these monomers were acid labile. We have developed a high resolution DUV bilayer resist system based on these monomers. Although most of our work was concentrated on 248 nm lithography, we have demonstrated that this chemistry can be extended to 193 nm applications.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new bottom antireflective coating (BARC) for 248 nm lithography is described. The new coating has an optical density of approximately 10/micrometers (k equals 0.41 and n equals 1.482) and plasma etches at rates higher than that of DUV resists depending on the etch conditions. Coating conformality is superior to older generation BARCs, also contributing to improved etch dynamics. Excellent 0.25 micrometers features have been obtained with ESCAP, Acetal and t-BOC type photoresists. The new BARC is spin coated from safe solvents and is spin bowl compatible with EBR and photoresist solvents.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Chemically amplified (CA) resist systems are known to be sensitive to contamination. Environmental contaminants such as airborne amines can result in T-topping. In addition, the undesired diffusion of photogenerated acid into unexposed areas can result in linewidth slimming. To counteract these effects, amines are intentionally added to chemically amplified resist formulations. These added amines function as `buffers' or `acid traps' within the resist matrix. While the effects of strong, photogenerated acids on CA resist systems has been the focus of much research, the effects of weaker acids on these resist systems has not received as much attention. In this paper we demonstrate how the conjugate acid of some amines (amine salts) can adversely effect the lithographic performance and storage stability of CA systems. We show that salts of weak amines are sufficiently acidic at relatively low temperatures, to cause significant increases in polymer molecular weight and polydispersity. In some cases, gelation of the polymer matrix was observed. A mechanism is proposed to explain these effects. We also show how appropriate amines can provide a thermally stable salt with low acidity. Such amine additives not only improve the storage stability of the resist system but also significantly improve lithographic performance as well.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper presents the progress we have made toward the development of fully water processable, negative and positive tone I-line resist systems. The negative tone system is based on styrene copolymers bearing pendant ammonium sulfonate groups and vicinal diol functionalities. The salt provides the means of rendering the polymer water soluble. The diol undergoes an acid catalyzed pinacol rearrangement that results in a polarity switch within the exposed polymer film, i.e. a solubility differential. The styrene backbone was chosen to provide dry etch resistance. Positive tone imaging requires two solubility switches. The two solubility switches are based on the reaction between acidic hydroxyl groups in a matrix polymer and vinyl ethers that are introduced as a pendant group of the polymer or as a monomeric cross-linker, i.e. a bisvinyl ether. During the post application bake, the vinyl ether reacts with an acidic hydroxyl group in a thermally activated switch, forming a crosslinked, water insoluble network through acetal linkages. These acid labile crosslink sites are then cleaved by a photochemical switch through the generation of acid, thereby rendering the exposed areas water developable.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Optical lithography with special thick film DNQ-novolac photoresists have been practiced for many years to fabricate microstructures that require feature heights ranging from several to hundreds of microns such as thin film magnetic heads. It is common in these thick film photoresist systems to observe interesting non-uniform profiles with narrow regions near the top surface of the film that transition into broader and more concave shapes near the bottom of the resist profile. A number of explanations have been proposed for these various observations including the formation of `dry skins' at the resist surface and the presence of solvent gradients in the film which serve to modify the local development rate of the photoresist. There have been few detailed experimental studies of the development behavior of thick films resists. This has been due to part to the difficulty in studying these films with conventional dissolution rate monitors (DRMs). In general, this lack of experimental data along with other factors has made simulation and modeling of thick film resist performance difficult. As applications such as thin film head manufacturing drive to smaller features with higher aspect ratios, the need for accurate thick film simulation capability continues to grow. A new multi-wavelength DRM tool has been constructed and used in conjunction with a resist bleaching tool and rigorous parameter extraction techniques to establish exposure and development parameters for two thick film resists, AZTM 4330-RS and AZTM 9200. Simulations based on these parameters show good agreement to resist profiles for these two resists.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A probabilistic model for polymer dissolution was recently presented that aims to provide a fully molecular explanation for the complex dissolution behavior of phenolic polymers such as novolac in aqueous developers. It is based on the hypothesis that a phenolic polymer, which is below the entanglement molecular weight, becomes appreciably soluble only when a certain fraction of its phenol groups are deprotonated. If the rate of dissolution of the polymer is limited by this solubility criterion rather than by mass transfer, then the dissolution rate of the polymer may be predicted from the probability of deprotonation. This hypothesis has been supported by laboratory measurements that tested the model's predictions for the effect of polymer molecular weight on the minimum base concentration for development and by combinatory potentiometric and turbidimetric titrations. The model can adequately account for the observed effects of residual casting solvent and novolac/inhibitor interactions and the differential dissolution behavior between novolac and poly(hydroxystyrene). No other model for phenolic polymer dissolution predicts all of these behaviors. This evidence suggests that even in a primitive form, the probabilistic model captures the important physical elements affecting the dissolution process that are absent from models based solely on diffusion theory.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A negative-tone bilayer thin film imaged (TFI) resist has been developed for extension of 248 nm optical lithography to sub-150 nm regime. The bilayer TFI resist system consists of a thin (0.2 um) silicon containing top imaging layer and a thick (0.7 - 0.8 um) highly absorbing organic underlayer. The chemically amplified negative-tone top layer resist comprises of three major components: an aqueous base soluble silicon containing polymer, poly(hydroxybenzylsilsesquioxane); a crosslinking agent; and a photoacid generator. The highly absorptive underlayer is a hard baked novolak resist or a DUV ARC. Imaging of the top layer resist has shown resolutions down to 137.5 nm for line/space features and 130 nm for isolated features with 248 nm exposure tools and chrome on glass masks. The O2 reactive ion etch (RIE) selectively of the top layers versus a novolak underlayer is more than 25:1 as a result of the high silicon content in the silicon containing polymer. Furthermore, residue-free and nearly vertical wall profile image transfer to the underlayer has been achieved with RIE. Application of the negative-tone bilayer resist to 150 nm Gbit DRAM critical level lithography has been demonstrated. Resist line edge roughness is also discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Film formation and bake processes have been studied using in-situ ellipsometry. This new experimental set-up based on a HeNe laser mounted over a hot-plate is shown to be mainly sensitive to physical changes in the resist layer and provides real-time monitoring of the modifications induced during bake steps. Pure polymer films as well as DUV 248 nm and 193 nm CA resists are investigated.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper, (sub) 0.18 micrometers KrF DUV processes are optimized for logic Front-End-Of-Line (FEOL) CMOS applications. A commercial DUV resist is used without resolution enhancement techniques such as phase-shift masks and off-axis illumination. The full patterning process is considered, i.e., in the final optimized process account is taken of the etch process. Statistical data shows that a stable process was obtained. However, due to minimal process windows at gate level after poly-etch, 0.18 micrometers FEOL cannot be realized in production with KrF DUV.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Recently, resist edge roughness with reducing pattern size has become a serious problem. We investigated the roughness of chemically amplified, positive-tone resists, experimentally. To reduce the roughness, we added a quencher with strong basicity to the resist, and observed sub quarter micron nested lines. As a result, the roughness was improved with increasing the quencher concentration, especially in 0.15 micrometers nested line patterns. Adding quencher was not too much effective for the larger size patterns. The acid concentration in resist was increased by adding quencher, because the nominal dose became large by that. It was also indicated experimentally that generated acid concentration at pattern edge was nearly equal to that of quencher at nominal dose. The nominal dose was determined by quencher concentration. We defined effective acid concentration as remaining acid concentration after quenching. This effective acid concentration increased with increasing quencher concentration too. The roughness seemed to be generated when effective acid concentration profile was lowered. It is indicated that the resist edge roughness with reducing pattern size can be expected from its effective acid concentration profile.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
BARC technology, originally developed for gate level has now to be applied to interconnection one's. Requirements for dielectric interconnection levels are different from gate level. In the case of gate level ARC has to minimize reflectivity at resist/substrate interface due to notching and resist swing curve effects. Whereas ARC for interconnections has to minimize reflectivity variation at resist/substrate interface due to swing curve effect in the dielectric layer. For interconnection, ARC must be as absorbent as possible at stepper exposure wavelength, and two ways are foreseen: ARC layer with high k value at 248 nm, and ARC layer with high thickness. For a reflectivity variation minimum criteria, we can find a couple values (k, minimum thickness). Experiments give us for a reflectivity variation below 5% the following couples: (k equals 0.7, 1200 angstroms thickness) and (k equals 1.1, 850 angstroms). In this paper we describe different applications of SiOxNy for interconnection levels: via, contact and damascene line level. Improvements depending of the SiOxNy thickness are seen in CD dispersion. To conclude SiOxNy ARC can be used for interconnection levels, and its performance depends on ARC couple values (k, thickness).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
IN lithographic processing to define patterns on the high reflective substrate, ARLs (anti-reflective layers) not only enable better line width control but also realize designs that were previously impossible to print. So far, several anti-reflective films like TiN, SiOxNy:H, and organic films for the high reflective substrate have been studied. In this paper, we suggest the novel anti-reflective structure for metal layer patterning, which is Al(aluminum)/SiO2 stack structure. the reflectivity and the resist absorption rate are simulated for the I-line, and ArF lithography. The simulated thickness of ARL(Al) and ARL(SiO2) for zero reflectivity on the wavelength of 365 nm was 12.6 nm and 95.2 nm respectively, and on the 193 nm was 20.4 nm and 98.8 nm. The process latitude according to the thickness variation of the deposited ARL(Al) and ARL(SiO2) films, and the results of the lithography experiment were discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We achieved 100 nm hole resist patterns of aspect ratio over 10 in tri-layer resist process, where there was seen no bowing or undercut in the resist profile. To enhance a controllability of resist profile, we used organic conductive materials of lower durability against oxygen plasma than novolac photoresist as bottom layer in order both to achieve higher selectivity versus material of intermediate layer and to eliminate electron shading effect. In addition, deposition property induced by this material could be utilized to improve the resist profile under optimized dry development conditions. This efficiency to control resist profile was dependent on content of sulfur in this material. In this experiment, we could not find any apparent difference of resist profile improvement dependent on conductivity from 100 Mohm/square to more than 100 Gohm/square. This needs further investigation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A major factor in the substantial improvement in the performance and environmental stability of DUV chemical amplified resists involved a change in the chemistry of the protecting group. A divergence of resist design has recently occurred, leading to two completely different resist classes, each with its promises and problems. These new resists (once again based on hydroxystyrene copolymers and terpolymers) can be grouped by activation energy. In this paper the authors will attempt to answer these questions and perhaps highlight areas of additional concern. Results from our investigations of two photoresists of either high or low activation energy system will be presented. Critical parameters such as overall process windows for sub-200 nm lithography variation with PEB temperature (linewidth/ degree(s)C), PEB delay, line slimming, etch rates and bottle stability will be discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Plasma polymerized methylsilane resist films (PPMS) have high sensitivity to short wavelength radiation. The photoinduced oxidation of PPMS films exposed in air forms siloxane network material (called PPMSO), allowing dry development by selective etching of the unexposed regions upon treatment with chlorine based plasmas. Negative-tone patterns of oxidized methylsilane thus formed can be consolidated in a standard resist stripper to form SiO2 like hard mask patterns. In this work, PPMS films are deposited using a commercial single wafer cluster tool dedicated to dielectric deposition. After exposure at 248 or 193 nm, PPMS development is performed in a commercial high density plasma source etcher. Oxide patterns obtained from PPMS films are used for organic resist patterning (bi-layer application) and gate stack patterning (single layer application).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An interpretation of the effect of resin molecular weight on the dissolution of novolak is offered. It is based on Eyring's transition state theory and on the percolation model of novolak dissolution. The rate determining step of novolak dissolution is the deprotonation of phenol by base at the front edge of the penetration zone. In order for this reaction to occur, an ion pair of base must appear at the interface of the penetration zone with the virgin matrix. To make this possible, all base ions of the corresponding percolation channel have to move forward in synchronism, and this requires the simultaneous thermal activation of all the sites of the channel. At this point the mechanism of energy transport in an ensemble of polymer chains intervenes: thermal (vibrational) energy propagates much faster along the chains then between them. It can be shown that the probability that a particular site will receive an activating quantum is inversely proportional to the length of the chain to which the site belongs. The application of these principles leads to a quantitative description of the activation entropy and the activation energy, and hence of the rate of novolak dissolution as a function of resin molecular weight.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new type of polymerization system for phenolic resin is proposed for high performance positive-working i-line photoresists used for sub-quarter micron lithography. A new design of phenolic resin is also proposed. The effects of end group of phenolic resin are mainly discussed from the standpoint of the dissolution characteristics of the photoresist and their lithographic performances; the resolution of 0.20 micrometers (1L/1.5S) on BARC with an annular illumination aperture (NA equals 0.57, 2.3 (sigma) equals 0.70).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The chemically amplified (CA) resist has been widely and generally used for sub-quarter micron device fabrication using a KrF excimer laser stepper. However many problems have been revealed and laborious efforts have been seriously undertaken to solve them. Among these issues, we have been examining the dependence of the resist characteristics on the substrate properties. In order to control and minimize the fluctuation of the critical dimension, we have been evaluating the relation between the cleanliness of the substrate materials and the CA resist patterning characteristics, especially, we have been focusing on the effect of a wet cleaning process-step which is a necessary and important process-step for actual device manufacturing. Among the several materials which we evaluated, we found that the characteristics of an amorphous carbon(a-C) film used as an anti-reflective coating were significantly affected and changed by the sulfuric acid and hydroperoxide mixture (SPM) cleaning. For the other materials, no characteristic changes in the cause of this SPM cleaning were observed, and this cleaning method was effective and applicable to almost materials except the a-C film. In case of the a-C film, the acidic residue remained after the cleaning, and this contamination changed the acidity of the film. The resist patterning characteristics fabricated on the contaminated film were drastically changed, and pattern collapse occurred. In order to diminish this remaining contamination and control the acidity of the contaminated substrate, we tried to apply a high temperature treatment, an alkaline treatment and a UV cure treatment.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The development of a dyed thick film photoresist for visualization purposes is described. The need for a visualization dye for residual resist (the coil layers) is a possible requirement for the thin film head fabrication technology. Because of the high transparency of the photoresist used in the production of magnetic read/write devices, it may be desirable for a dye to improve visualization properties. A method is described in which a dyed thick film photoresist is developed. Performance requirements are discussed and a lithographic evaluation is given. Assessment of the formulations were carried out on an UltratechTM stepper using a current production photoresist as a standard. It is necessary to maintain resist performance such as photospeed, resolution capabilities, and depth of focus. Advantages and disadvantages are presented for the dyed thick film photoresist. Also included is a discussion concerning the critical factor of dye concentration present within the photoresist.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We propose a new development model (Aggregate Extraction Model), which can explain the generation process of linewidth fluctuations. This model is inherently different from some previously proposed development models in that polymer aggregates, not a single polymer, are treated as the dissolution units. We found that the polymer aggregates about 20 - 30 nm in size are naturally contained in resist films. These aggregates can be observed in the cross section of a resist film and on the lightly exposed resist pattern surface after development. A polymer aggregate dissolves more slowly than the surrounding polymer probably because the polymer density is slightly higher inside the aggregate. Once the surrounding polymer dissolves, the aggregates are extracted and float away into the developer. The polymer aggregates trapped on the pattern sidewall, on the other hand, cause the roughness of the pattern size wall surface, which is responsible for the linewidth fluctuations. We have succeeded in a direct observation of aggregate extraction during the development by AFM observations. The validity of the Aggregate Extraction Model is also discussed from the point of view of the polymer density.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Isolated to dense linewidth offsets, also known as proximity bias, can consume a significant portion of the CD budget. As a result, it has received great attention over the recent years. It is demonstrated that proximity bias shows a cyclic swing behavior on reflective substrates with respect to resist thickness variations. The amplitude of proximity bias swing was found to be influenced by coherence, substrate reflectivity, feature dimension and pitch. Proximity bias swing is caused by differences in optical path lengths of light passing through the resist film. Due to different diffraction angles for different pitches, the incoupling positions for different pitches vary. The offset in CD swing incoupling positions for different pitches results in proximity bias swing. At low coherence however, an averaging effect on diffraction angles from different pitches takes place due to the wide range of angles of light passing through the mask. In addition, the impact of exposure margin variations on resolution and proximity bias was demonstrated. Low exposure margins offer high resolution. As a consequence, high proximity bias is observed. Furthermore, different line to space ratios were studied to identify the transition point between dense and isolated features with respect to proximity bias swing. At high coherence ((sigma) equals 0.35) it was observed that for 0.25 micrometers features with pitches smaller than 0.65 micrometers , proximity bias swing is larger than the +/- 0.5% CD budget, which makes it impossible to do effective application of proximity bias correction schemes. At low coherence, only limited proximity bias swing was found. Through variation of bake conditions it was demonstrated that these process variations had no measurable effect on proximity bias swing. Optical settings, in combination with substrate reflectivity, are the main contributors to (eliminate) proximity bias swing.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This article presents a technique for resist deposition using a novel fluid ejection method. An ejector has been developed to deposit photoresist on silicon wafers without spinning. Drop-on-demand coating of the wafer reduces waste and the cost of coating wafers. The novel piezoelectric fluid ejector is based on a variation of the design of a flextensional transducer that excites axisymmetric resonant modes in a clamped circular membrane. The ejector is made by bonding a thin piezoelectric ring to a thin, fully supported, circular membrane. The ejector design is optimized for maximum flexure at the lowest order resonant frequency using finite element modeling. The resist is placed behind one face of the membrane which has a small orifice (50 - 150 micrometers diameter) in its center. By applying an ac signal across the piezoelectric element, continuous or drop-on-demand ejection of the resist is achieved. Shipley 1400-21, 1400-27, 1805, and 1813 resists were used to coat sample 3' wafers. Later, these wafers were exposed and developed. The deposited resist films was 3.5 micrometers thick and had a surface roughness of about 0.2 micrometers . The ultimate goal is to deposit resist films with a thickness of the order of 0.5 micrometers , and a surface roughness of the order of 30 Ao. Such goals can be attained by using micromachined multiple ejectors presently under development, or with better control over the deposition environment. In the micromachined configuration, thousands of ejectors will be made into a silicon die and thus allow for full coating of a wafer in a few seconds. Coating in a clean environment will allow the lithography of circuits for microelectronic applications.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A novel resist for 193 nm lithography must be developed to fulfill the demands of future microelectronics fabrication processes. Enhancing 193 nm DUV single layer resist (SRL) materials has received extensive interest in recent years, which exclusively derivatized acrylic polymers due to the problem of absorption at 193 nm. In this work, we investigate acrylic polymers as a 193 nm DUV SLR material. Our acrylic polymer comprises of tertbutyl ester acid labile group and other groups. The resist system using the polymer displays a positive tone image in the presence of photoacid generator such as onium salts. This work also investigates the effects of acid number, acrylic polymer composition, molecular weight of acrylic polymer on 193 nm DUV SLR.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Fabricating integrated circuits with increasingly smaller elements mandates that the chlorine ion contents in 2.38% TMAH to be under the 50 ppb level. Previously, the interference from TMAH inhibited the analysis of chlorine ion in TMAH by ion chromatography. In this study, we present a novel technique to eliminate the annoying interference from TMAH. By using of acid type cation exchange resin Amberite IR-120, the interference from TMA+ and OH- is successfully eliminated. The TMA+ cation is retained in the exchange resin and the OH- from TMAH neutralized with the H+ released from the resin. This relatively simple scheme of cation exchange preprocessing not only eliminates the influence of TMAH, but also possesses the additional merit that the Cl- anion has remained intact through the cation exchange resin. To further increase the detection limit, on-line preconcentration by ion chromatography has been coupled with the above scheme by cation exchange resin preprocessing, via this technique, the detection limit of sub 1 ppb level is achieved with no need of adding a standard.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Interferometric lithography techniques provide low-cost, large area nanoscale resolution. It is difficult to control positive resist process with narrow spaces (large line: space ratios) because of the large slope of the swing curve just as the resist clears. The sidewalls are inherently sloped with significant standing wave related distortions. Also, at nm-scales, resist pattern collapse becomes a serious limiting issue. We report on investigations of image reversal using positive photoresist AZ5206E. This photoresist is designed for reversal with a simple heating step followed by a blanket UV exposure. 1D photoresist gratings were investigated with CDs varying from approximately 100 - 250 nm. The image reversal process significantly reduced standing wave effects, eliminated the collapse issue, and provided under-cut profiles suitable for lift-off. At periods < 300 nm, high aspect ratios with this photoresist could not be achieved probably due to a lack of resolution. Image reversal was also demonstrated in multiple exposure processes leading to sharp, square corners. Finally, the developed photoresist profiles with the image reversal process were found to be in good agreement with the ProlithTM modeling.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
With increase in density of semiconductor devices, 193 nm lithography is promising to attain smaller feature size patterns. Surface imaging process is useful for this lithography in terms of large depth of focus and prevention from substrate reflection. As the surface imaging process, so far we developed positive surface modification resist process using CVD for various device patterns' fabrication. To solve the issues of selective polysiloxane layer formation and sensitivity, we have improved this positive surface modification resist process using a new polymer, poly(cyclohexyl p-styrenesulfonate-co-methyl methacrylate), and a new photobase generator, O-phenylacetyl acetonaphthone oxime. 0.15 micrometers pattern was successfully fabricated using the new process with improved sensitivity of 80 mJ/cm2.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The inhibitor function and the photoreactive functions of the PACs of dissolution inhibition resists are independent of each other, and new PACs may be designed by joining strong inhibitors to conventional DNQs, provided the acceptor groups of the inhibitors are within reach of the thermal pulse emanating from the DNQ on exposure. The strength of inhibitors depends on the basicity of their acceptor groups, and that can be affected by substitution, by restructuring the acceptor group proper, and by increasing the size of the aromatic units attached to it.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As critical dimensions continue to shrink, the importance of robust process windows for these smaller features becomes increasingly evident. There are, seemingly, an unlimited number of variables involved in optimizing a photolithography process to maximize a photoresist and developer system's process window. One of the more influential variables is the develop process, and more specifically, the develop puddle time. The effect of develop puddle time on overall lithographic performance has been investigated. Three runs each were processed varying single spray puddle develop times from 10 seconds to 80 seconds. The responses include dose to clear (Eo), sizing energy (Es), masking linearity, resolution, under, over, and total exposure latitude, focus latitude, iso/dense bias, and resist profile. Also, suggested possible indicators of lithographic performance, such as, sizing ratio (Es/Et) and contrast (gamma) were observed. The effects of develop puddle time on lithographic performance, the `usable' develop puddle time range, and the viability of Es/Et Ratio and gamma as indicators of lithographic performance will be described. Based on the results, optimal puddle times are recommended for increased lithographic performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Many processes using dry developable silylated resist schemes were developed the last years, however, very few of them made their way into a high volume IC manufacturing line. Frequently the initial euphoria about their excellent lithographic performance was brought down to earth, when typical problems like high defect density, poor linewidth control or difficult stripability were encountered. In this paper we will report on the CARL process and its capability for mass production of DRAMs and logic ICs. We apply this process for the patterning of half-micron features over severe topography with conventional i-line steppers.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have developed the new contrast enhancement method using an alkali decomposable compound. This compound is hydrophobic and water insoluble, but decomposes to water soluble hydrophilic compounds by contact with alkaline developer. This solubility change makes high dissolution contrast between exposed and unexposed area. Good profiles are obtained by addition of this compound to quinonediazide type positive resist.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We study chemical changes in positive photoresist which occur during reclamation processes from various viewpoints. Used photoresist is collected by adding resist solvent and concentrated by evaporation; then its viscosity is adjusted to its original state and it is finally filtered. When the concentration temperature is imposed to the resist, the number of particles slowly increases over time. After about 30 days at a concentration temperature of 40 degree(s)C, the particle number and particle size increase quickly. These particles are formed by coagulation of mainly the tetramers of PAC thermally modified, but not coagulation of mere PACs. Generation of acid is anticipated if the decomposition of PAC or resist solvent happens at the concentration temperature, which deteriorates adhesiveness. Acetic acid, sulfonic acid, carboxylic acid, and formic acid are not formed, however. This result is supported by a patterning experiment.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Alicyclic groups are preferable resin components of ArF resists due to better dry-etching resistance and higher transparency at 193 nm. On the other hand, Alicyclic groups bring poor adhesion of ArF resists during wet development, because of their higher hydrophobic nature. To avoid the peeling problem diluted developer has been suggested to use. However, the compatibility with existing standard developer of i-line and KrF resists is necessary for the mass production. In this paper we compared two kinds of resists for the standard developer (TMAH 2.38%) application. The former has AdCEE unit and norbornene derivative/maleic anhydride alternating copolymer, together with relatively weak organic acid generating PAG. The latter having 2MAdMA/GBLMA copolymer and onium salt PAG shows better lithographic performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Two different kinds of novolak resins are synthesized, one is a typical meta-cresol and para-cresol formaldehyde novolak resin and the other is a higher performance novolak resin, and which are fractionated into different molecular weight distributions. I-line photoresists with these different molecular weight distribution novolak resins are formulated and I-line photoresist performance is evaluated based on the Mw/Mn. From these consequence, it is found novolak resin has an optimum Mw/Mn value in the improvement of resolution and the improvement with resin fractionation is less than with different kind of novolak resin.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Reducing resist thickness easily and simultaneously decreases the k1 factor and increases the k2 factor in conventional Rayleigh equations, without changing the wavelength of the illumination light and NA of the optics. In this work, we investigated the effect of reduced resist thickness on process latitude and optical proximity effect (OPE) at the sub-quarter micron level. The experiment exposures were performed by a 0.6 NA KrF excimer step and scan system with an in-house chemically amplified positive resist in the thickness range of 0.6 micrometers to 0.25 micrometers . The results showed remarkable improvements in process latitude of both 0.175 micrometers L&S and 0.225 micrometers contact hole, as well as OPE such as a CD variation between different pitches and a feature deformation at isolation by reducing resist thickness.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
New matrix resins containing maleic anhydride and cycloolefin units for ArF excimer laser resist have been developed. Several series of these matrix resins having good dry-etching durability were prepared by free radical polymerization using AlBN as free radical initiator. All of the resists using the matrix resins revealed good coating uniformity and adhesion to silicon wafer, and were readily soluble in a variety of resist solvents such as MMP, EEP, PGMEA and EL. In preliminary 193 nm testing a resist formulated with the matrix resins sulfonium triflate as photoacid generator afforded positive images with 0.14 micrometers L/S resolution. In this paper, we will discuss the polymerization results and lithographic properties for 193 nm exposure tool.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Novolak resins, used to make typical g or i-line resists, are commonly made by condensing phenolic monomers with aldehydes. In the strictest definition of novolaks the aldehyde of choice is always formaldehyde. Under the acid catalyzed conditions generally used to make novolak the resins the carbocations formed by the protonation of the aldehydic carbonyl react with the phenolic reactant(s) to form the resins with elimination of water. Other aldehydes or low molecular weight ketones have been used on occasion to make useful analog for resist applications. Competing aldol condensations may interfere with isolation of pure polymeric resins especially in the case of ketones. Unique novolak resin analogs have been made by condensing `masked' bifunctional electrophiles with phenolic reactants under acidic conditions similar to standard novolak resin techniques. These resins were used as replacements for novolak resins and showed increased image contrast and thermal resistance. They were also used as photoactive compound backbones. Low molecular weight resins made with polyhydroxy aromatic phenolic monomers were especially useful as speed enhancing additives in thick film applications.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
in order to meet customer driven functional criteria for modern i-line resists, formulations have become increasingly more complicated. Often mixtures of both the photoactive compounds and resins are necessary to balance and optimize dissolution inhibition, photosensitivity and resolution. Mixtures of fractionated novolak resins along with low molecular weight (Mw) speed enhancing resin additives were used to attain desired properties. Scumming tendencies increased as the concentration of the low Mw additives increased. Novel resins were synthesized by incorporating fully formed low Mw additives into the synthetic recipes along with the phenolic monomers and formaldehyde. These resins were characterized by gel permeation chromatography, NMR and by functional comparison to traditional formulations. When formulated with small amounts of low Mw additives, photospeeds could be increased while increasing thermal resistance and reducing scumming tendencies. Additional resist performance enhancements were possible when the new resins were fractionated to remove low Mw oligomers and unreacted starting materials. The need for use of small amounts of low Mw speed enhancers to the formulations with the new resins substantiates earlier work on the optimization of resist formulations.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
There are in increasing number of advanced lithographic technologies that require photoresist film thickness in excess of twenty microns. For example, suppliers of microprocessors are migrating to flip chip packaging because of bond pad limitations. The flip chip application can require photoresist materials as thick as 125 micrometers for the bump-bonding step. Another application that requires ultra- thick photoresist films is micromachining. Extremely large structure heights are frequently required for micro- electrodeposition of the mechanical components such as coils, cantilevers and valves. These applications can require photoresist in excess of a hundred microns thickness. The patterning of high aspect ratio structures in these ultra-thick photoresist films is extremely challenging. The aspect ratios easily exceed those encountered in submicron lithography for standard integrated circuit (IC) manufacturing. In addition, the specific photoresist optical properties and develop characteristics degrade the critical dimension control for these ultra-thick films. The bulk absorption effect of the photoresist reduces the effective dose at the bottom of the film. This effect is exacerbated by the isotropic wet development process which produces sloped profiles. Unlike thin photoresist for IC manufacturing, lithography modeling and characterization are not readily available for ultra-thick photoresist films. The performance of several commercially available positive and negative ultra-thick photoresists is examined over a thickness range of 20 to 100 micrometers . This paper is primarily focused on the 25 micrometers film thickness using both high throughput i-line and gh-line lithography systems optimized for thick film processing. The various photoresists used in this study were selected to represent the full range of available chemistries from multiple suppliers. Basic photoresist characterization techniques for thin films are applied to the ultra-thick photoresist films. The cross sectional SEM analysis and Bossung plots were used to establish relative lithographic capabilities of each photoresist. The trade-offs between the various photoresist chemistries is reviewed and compared with the process requirements for the various applications. A future paper will discus the capabilities of these same photoresists at both 50 and 100 micrometers film thicknesses.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The development of a negative tone chemically amplified DUV resist consisting of a hydroxystyrene based copolymer, a melamine crosslinker, a photoacid generator (PAG) and certain amine additives is described. A response surface method was employed to investigate the general trends of formulation and process changes on the lithographic performance. Microbridging was eliminated by either increasing the PAG concentration or the application of lower prebake temperatures. The pattern profiles were optimized by the selection of a specific amine/ammonium hydroxide combination; the effects of individual amine components are discussed and a correlation with their structures is given. The application of monodisperse polymer materials generally improved the pattern edge accuracy. The optimized resist material has a resolution potential below 0.15 micrometers (NA equals 0.55) combined with a large depth of focus (> 1.0 micrometers 0.15 micrometers iso lines), acceptable iso-dense bias, and small sensitivity towards changes in the post exposure bake conditions.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We analyzed the local pattern collapse by KLA-2132 in patterning gate line of 0.18 micrometers on the Poly-Si/WSix/Si3N4, where the thickness variation of Si3N4 (Nitride) film affected on the substrate reflectivity. By thickness split experiments of organic bottom anti- reflective layers (ARLs), we showed the effect of thickness variation of Nitride on the resist pattern collapse. We investigated the contribution of various factors to the pattern collapse. First of all, we focused on the CD variation due to substrate reflectivity variation to remove patterns of tolerable aspect ratio. In order to obtain better CD uniformity by tight reflectivity control considering the thickness variation of Nitride film, we optimized anti-reflective layer process using inorganic ARLs. As an inorganic ARL, we used PECVD SiOxNy:H(SiON) of which optical constants were changed by deposition conditions. We compared typical positive-tone DUV resists, of acetal based with environmentally stable chemically amplified photoresist type, to clarify the effect of resist and organic bottom ARL materials.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Controlling the exact level of surfactants in resist developers is critical. Surfactant concentrations can influence parameters such as photospeed, resolution, and linearity. Too much or too little surfactant can have a significant impact on the performance of the developer. In our laboratory an analytical procedure was developed to quantitate an Ethylene Oxide/Propylene Oxide (EO/PO) surfactant in an aqueous Tetra Alkyl Ammonium Hydroxide developer. The procedure takes advantage of the chemical property of the EO/PO surfactant to complex with aqueous cobalt thiocyanate solution to form a blue cobalt containing dye. The coupled dye is soluble in methylene chloride and visible at lambda 620 nm. The amount of dye formation is directly proportional to the amount of EO/PO surfactant present in the sample, over an established range. The analytical method provides precise and accurate quantitation of the EO/PO surfactant in the resist developers. It is relatively easy to perform and enables the user to qualify a resist developer with respect to its surfactant content. Also, the method developed is sufficiently general and can be applied to other types of nonionic surfactants presented in resist developers.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
There is a continuing need to prepare PACs with less ionic impurities. In a typical synthesis, a Diazonaphthoquinone (DNQ) sulfonyl chloride is added to a phenolic compound with an amine catalyst. The photoactive compound (PAC) and an amine salt are formed. After the synthesis, the PAC is washed well with water or mixture of solvents to remove the ionic impurities (the amine salts). However, resist specifications are now at the ppb levels. Residual ionic impurities influence photospeed, particle formation, corrosion, dark film loss, etc. The process to remove the unwanted ionic impurities is costly and time consuming. A new method has been developed in our laboratory to prepare DNQ PACs using ion exchange resins. About a 20 fold decrease in ionic contamination has been observed with this method. The procedure is cost effective and can be applied to PACs with different backbones.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
It is well known that TIPS (Top-surface Imaging Process by Silylation) process has a feasibility to overcome lots of problems induced from single-layer resist process. Nevertheless, chip makers have been reluctant to apply this process to giga technology with two major issues; process issues such as Line Edge Roughness (LER), residues, Critical Dimension (CD) uniformity, and rework scheme and infrastructure issues of system reliability and reproducibility. First of all, the process issues should be investigated to realize the giga device manufacturing. In this paper, we will describe the patterning results of 180 nm isolation structure of 1G bit DRAM on process issues. LER is a critical problem that arises from edge breakdown of thin SiOx mask generated from silylated resist during dry development. We have tried to get roughness smaller by means of the prevention of edge-breakdown of SiOx matrix. Residues on the unexposed area due to unwanted silylation after dry development disappeared by using an optimized C2F6/O2 break-through step. In this experimental, the optimum process conditions for removing of LER and residues were determined by controlling the condition of dry development. The results are compared to those obtained using two-step and three-step dry development. We achieved excellent 3 sigma values of 17 nm and 20 nm for CD uniformity within a chip and a wafer, respectively. We have also developed rework procedures to remove the resist pattern formed after dry development by using BOE and clean- D (mixture of H2SO4 and H2O2) solutions. From these studies, it was confirmed that TIPS process using a cluster-tool silylation system incorporating a LRC TCPTM 9400SE dry development module was verified as a production-worthy process for 180 nm isolation pattern formation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The effects of acid structures and blocking groups in chemically amplified resists on compatibility with bottom anti-reflective coatings (BARCs), were investigated. The resists consisted of tert-butoxy carbonyl (t-BOC) or acetal blocked polyhydroxystyrene with three types of photoacid generators (PAGs) which generate trifluoromethanesulfonic acid (acid 1), 2,4-dimethyl benzensulfonic acid (acid 2) and cyclohexanesulfonic acid (acid 3). Three types of commercially available BARCs, Brewer Science CD9, DUV11 and DUV18 were used for this study. CD9 was decomposed by exposure and generated an acid substance, which induced the necking at the bottom of the resist films. In the case of DUV11, the generated acid from the PAG was neutralized, and footing was observed in t-BOC type resists. Acetal type resists had no footing on DUV11 because the deblocking reaction progressed without post-exposure baking. DUV18 had good compatibility with most of the resist materials because of its neutral acidity. From the viewpoint of resist materials, it was found that the acetal type resists tended to have necking, because the deblocking reaction occurred at lower acid concentration compared with t-BOC type resists. Moreover, the tendency to have a necking profile, in increasing order, was acid 3 > acid 2 > acid 1. This order corresponded with the reverse order of the efficiency of the deblocking reaction. A weak acid might be greatly affected by some substance diffused from a BARC. The acetal type resist with acid 1 had excellent compatibility with various BARCs. However, the resolution capability of the acetal type resist with acid 1 was lower than that of the acetal type resist with acid 3, because the acid diffusion length of acid 1 was larger than that of acid 3. It was concluded that good compatibility of the resist with the BARC is achieved by the high deblocking reaction efficiency and moderate diffusion length of acid in acetal type resists.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new polar alicyclic polymer has been developed as an ArF negative resist polymer. Poly(carboxytetracyclo[4.4.0.12,517,10] dodecyl acrylate-hydroxytricyclo[5.2.1.02,6]decyl acrylate (polyCTCDDAm-TCDAOHn)) has carboxyl and hydroxyl groups. It was founded that reactivity of the hydroxyl group was much higher than that of the carboxyl group in the acid- catalyzed crosslinking reaction. Poly(CTCDDA32-TCDAOH68) exhibits good solubility (0.5 micrometers /sec) in the standard developer (2.38% TMAH aq.), high transparency (70%/0.5 micrometers ) at 193-nm and high thermal stability (decomposition point: 230 degree(s)C). A chemically amplified negative resist composed of this polymer and 1,3,4,6- tetrakis(methoxymethyl)glicoluril (TMGU) provided a resolution of 0.18-micrometers L/S pattern with an ArF exposure system (NA equals 0.55) at a 9.2 mJ/cm2 dose.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The t-BOC photoresists represent a well established reference in Deep UV lithography, but more and more `new generation' 248 nm products are proposed by all the major photoresist manufacturers. The purpose of this paper is to evaluate the compare the performances of the 2 t-BOC photoresists, with those of 4 other `new products', from the ESCAP and acetal families; these products (from 5 different manufacturers), are evaluated for 0.25 micrometers and 0.18 micrometers technologies design rules. The photoresists are compared with quantitative and qualitative criterias. The quantitative approach consists in the determination of the energy-focus latitudes, for isolated and dense patterns (0.2 and 0.25 micrometers nominal). The proximity effects are also evaluated in each case, for different pitches. The qualitative approach consists in the photoresist patterns profiles study (slope, standing waves, footing, undercut, ...). The stepper used for the evaluation is an ASML PAS 5500/90, with a numerical aperture and a partial coherence of respectively 0.5 and 0.63. The substrates consist of bare silicon, to get rid of possible photoresist-substrate incompatibilities. The conclusion of the study for 0.25 micrometers design rules is that the t-BOC materials still perform the best; for 0.2 micrometers design rules, the trend is the same, even if the conclusion must be taken with caution, due to the limitations of the 0.5 NA of the ASML PAS 5500/90 stepper.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Preventing the formation of defects at the interface between an organic bottom-side anti-reflective coating and a photoresist is problematic with the use of these films. These defects have been attributed to different sources, such as mismatch of surface free energies, trapped water, etc., and have been shown to be highly dependent on the rotational speed of the wafer during the photoresist dispense step. Extensive work has also been done by most semiconductor manufacturers to reduce photoresist dispense volumes during wafer processing. Due to significant increases in photoresist cost for 248 nm lithography, this issue has become increasingly important. This paper shows that defect prevention and resist volume reduction can be accomplished with a fast, high pressure dispense of the photoresist.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Acrylic polymers are used for the ArF resists due to their high transparency. The development behaviors of the resists remarkably differ from that of conventional phenol resists. We investigated the influence of the ions in the developer and rinse to the development. The behaviors are explained clearly by considering the salting out effect. An exposed resist does not dissolve completely and forms gel by the salting out effect during the development, especially when the exposure is insufficient. This gel is dissolved by the rinse in which the salting out effect is very weak. The salting out effect also has influence to the unexposed resist. During the development, the diffusion of TMAH into the resist film occurs, while the swelling of the resist is inhibited by the salting out effect. The removal of the resist is observed occasionally during the rinse. A molecule of the ArF resists contains highly hydrophilic parts with the photogenerated carboxylic acid and hydrophobic parts. This type of molecule easily makes gel during the dissolution because the hydrophilic parts introduces the water and the hydrophobic parts make crosslinks of hydrophobic bonds. The hydrophobic bonds are influenced by the ions. This is because the acrylic resists are easy to be influenced by the salting out effect.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Chemically-amplified resists show promise as patterning materials in many advanced lithography systems including optical, electron-beam and ion-beam systems. In this study, four such resists including IBM APEX-E, IBM ENR, Shipley UVIIHS, and Shipley SAL-601 (ion-beam and electron-beam exposure only) were characterized for the three methods of exposure to determine relative sensitivities, fundamental resolution limits, and diffusivity for each of the above mentioned exposure methods. It was discovered that volumetric exposure doses for ion-beam and electron-beam were roughly equivalent but all resists were more sensitive to optical exposure. This is most likely due to a difference in transfer of energy to the photoacid generator during the exposure. Resolution tests were conducted in ion-beam exposure with simple line/space array patterns. All resists demonstrated patterning capability with resolution limits of 150 nm, 70 nm, 70 nm, and 50 nm for APEX-E, SAL-601, UVIIHS, and ENR respectively. Evidence of a competing cross-linking mechanism in the positive tone resists was discovered from the resolution tests. From a simple electron-beam `post/hole' experiment with varying post-exposure bake times, it was determined that SAL-601 and APEX-E demonstrated the highest level of diffusivity while UVIIHS and ENR exhibited negligible levels of diffusion. The characterization tests demonstrated the suitability of all four resists as good ion-beam patterning materials in addition to their suitability for electron-beam and optical exposure.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The incorporation of the acetoxy group (AC) increases the thermal flow resistance of acetal derivatized polyhydroxystyrene matrices (ADPHS) without compromising the desirable dissolution characteristics. Two methods of introducing acetoxy to ADPHS resins were developed: (1) An economical one pot synthesis was utilized to make tertiary polymer containing hydroxystyrene (HS), acetoxystyrene (ACS) and acetals of HS. (2) We exploited the unique blending properties of poly(HS/ACS) and poly(HS/acetal HS). Both approaches were evaluated lithographically at 248 nm. It was found that both the tertiary polymer and blending approaches resulted in resists materials with improved Tg while giving resolutions down to 0.20 micrometers for line and space pairs and 0.15 micrometers for isolated lines.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper focuses on all aspects of acid quantification in DUV resists using novel acid sensitive dyes. The design criteria for creating acid sensitive dyes are discussed and several new classes of dyes are described. Upon protonation, these molecules undergo a large bathochromic shift in the absorption maximum. This change in the UV absorption spectrum can readily be used to quantify acid generation spectrophotometrically. The utility of these new acid sensitive dyes will be demonstrated by quantifying the acid generating efficiency of different PAG classes. In this paper, the relationship between resist performance and PAG structure is studied for a series of DUV PAGs in which the structure of both the chromophore and the acid are varied. In addition, the sensitivity of these dyes is sufficiently high that trace amounts of acid lost from chemically amplified resists during exposure may be measured. Preliminary results of acid loss experiments on assorted DUV PAGs will also be presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper reveals a methodology for substantially eliminating footing on native TiN substrates. The first generation DUV resists, such as APEX-E, reported a foot size of > 100 nm. The large foot size severely limited the capability of these resists. This paper introduces a superior resist for TiN substrate footing, TitaNTM photoresist, with a foot size of only 13 nm at 250 nm feature sizes. This resist also has high resolution and fast photospeed, and good process windows for isolated and dense structures. The key design concept was to reduce the surface inhibition at the resist/TiN interface. The key chemistry to accomplish this reduction in surface inhibition is to generate stronger acids at the resist/TiN interface. We also considered high and low acrylate polymers. There was little difference in footing for the high and low acrylate polymers, however, the low acrylate polymer shows superior etch resistance necessary for metal levels.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
During the past few years an intensive effort has been made to develop 193 nm photoresists for the next generation of DUV lithography tools. The early portion of this effort was focused on the development of photoresist polymers that are transparent at 193 nm. Since the polyacrylic materials developed for 193 nm lithography were not highly reactive ion etch (RIE) resistant, recent 193 nm resist polymer efforts have focused on both optical transparency and RIE etch resistance. Most of these polymers developed for 139 nm lithography are highly transparent at 248 nm. This dual transparency produces the option of developing a resist that is backward compatible, i.e. imagable at both 193 nm and 248 nm. This paper will investigate the lithographic characteristics of resists initially developed for use at 193 nm, but imaged at both 193 nm and 248 nm. Various resist families will be compared, and aspects such as absorbance effects and PROLITHH/2TM simulations will be taken into consideration.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We describe a series of improvements to the `v2' etch- resistant methacrylate 193 nm photoresist platform. `V2' itself possesses many desirable characteristics, but requires weak developers and lacks ultimate etch resistance for production processes. Modifications to address these challenges include incorporation of polar modifier monomers which provide improved developer compatibility, and use of alternative etch-resistant monomers which ameliorate the excessive hydrophobicity of isobornyl methacrylate. Specifically, we explore the use of methacrylonitrile as a polar modifier which simultaneously imparts strong-developer compatibility and improves RIE performance. Integration of these improvements results in methacrylate resists displaying strong-developer compatibility, sub-0.15 micrometers resolution, and etch rates equivalent to current 248 nm photoresists in prototype materials.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In the quest for a high performance 193 nm photoresist with robust plasma etching resistance equivalent to or better than the DUV resists of today, we have focused on the use of cyclic olefin polymers. In this paper, we will discuss monomer synthesis, polymerization approaches, polymer properties and early lithographic results of 193 nm photoresists formulated from cyclic olefin polymeric materials made from a metal-catalyzed addition polymerization process. The goal of this work is to produce a 193 nm photoresist with excellent imaging performance and etch resistance exceeding DUV resists, and in fact approaching novolak-based photoresists.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Deep UV lithography is widely used to print contacts and vias for the 0.25 micrometers process technology and beyond. Although significant improvements in the depth of focus and exposure latitude of the resist systems have been made using optimized resist formulations, their integrity against plasma etch conditions has to be addressed for the successful implementation in deep submicron process flows. In this paper, the first part of the discussion focuses on quantifying the etch rate differences and the impact of resist profile on the etched profile of different commercial DUV resists, using a Magnetically Enhanced Reactive Ion Etch tool with CF4:CHF3 and C4F8:CHF3 fluorocarbon etch chemistries. In the second part, sources of critical dimension variations such as iso vs dense contacts, wafer temperature effects during contact etch as well as etch issues related to the integration of BARC at the contact level are discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
One of the major factors which determines the success of resist photochemistry is acid diffusion. Inadequate or excess diffusion can cause undesirable resist profiles, limit resolution and adversely impact process windows. Both formulation and process parameters effect acid diffusion. Formulation factors include such things as intrinsic properties of the acid, resin, and solvent. The process parameters which effect acid diffusion are mainly exposure dose, post-apply (PAB) and post-exposure bake (PEB). A practical study has been conducted which investigates the effect of PAB and PEB times and temperatures on acid diffusion in a chemically amplified negative i-line photoresist. Acid diffusion was measured by determining the change in linewidth of an isolated resist line. The goal of the study was to maximize acid diffusion through PAB and PEB conditions with minimal impact on profile quality and process windows. Maximum acid diffusion was required to combat a minimum light intensity at the surface of oxide wafers. Data on quantifying acid diffusion through linewidth change, maximizing acid diffusion at low light intensities as well as the role of the resist formulation will be discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Advanced semiconductor devices can suffer from a plethora ofdefects, some of which are attributable to the processes used for their manufacture and others which are inherent to the materials used to fabricate the device. As the level of integration in these devices continues to become more sophisticated, so too must the detection techniques and ultimately the control of such defects. One possible method to reduce defects is to monitor and control the purity of chemicals utilized to fabricate advanced devices. This paper will specifically deal with one such chemical, photoresist. The manufacture ofphotoresist chemicals has advanced significantly over the past 5-10 years. The once relatively crude "refmery-like" resist production facilities have been replaced with ultraclean facilities which are constructed and operated in a similar manner as advanced device fabs today. The driving force behind this transformation is to increase wafer yield by limiting defects on product wafers which are caused by particulate contamination in the resist material. Specific particles come in many flavors such as inactive dirt or dust particles to active metallic impurities containing elements such as sodium, calcium or iron. Likewise, there are many new techniques utilized to control specific particulates (e.g. ion exchange for metallic impurities). However, in general, to remove generic particulate contamination, filtration or ultrafiltration has been the mechanism of choice for many years and will probably continue to be so in the foreseeable future. In order to continually improve the efficiency ofthe filtration process, the ability to evaluate new filtration materials and techniques must be acquired. In addition, developing models which allow scaling from pilot systems to large production systems without repeating process optimization is critical in order to deliver leading edge products t the market quickly and efficiently. This study was primarily concerned with developing a technique to evaluate filter systems in an efficient manner. In addition to generating empirical data, mathematical models were utilized to understand the filtration process and to use for future scale up purposes. Additionally, new or improved filtration devices must be studied to determine the effects on the photoresist chemical using advanced analytical techniques. It is with the above information that the photoresist manufacturer will be able to continually drive particulate contamination levels lower and lower in the future.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Optimized optical parameters can enhance the performance of resist systems. Expanded process latitudes can be achieved through the manipulation of the optical levers on a variable parameter stepper, extending a resist system's capability over a wider range of applications. In this study, the parameters being examined are numerical aperture, partial coherence and annularity. A 33 experiment of the optical factors was performed to screen for interactions between factors and to establish a resist model which can characterize behavior over the design space. Responses include energy to clear (Eo), sizing energy (Es), sizing ratio (Es/Eo), Iso/Dense bias, masking linearity, resolution, exposure latitude, focus latitude, and feature profile. Equations are presented to predict resist performance of selected responses for dense lines/spaces, isolated lines, trenches and contact holes. Optical parameter combinations are cited to optimize performance of individual features.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As critical dimensions for devices continue to shrink, there is concern over the possible resist sidewall contributions to the critical dimension error budget. Because top surface roughness is substantially easier to measure than the sidewall roughness, it is the purpose of this paper to correlate top surface and sidewall roughness to the processing parameters of dose and development conditions that effect the overall roughness and to explore some possible reasons for the differences in the top surface and sidewall roughness. Initial atomic force microscopy results on the resist top surface indicate that there is a general correlation between the top surface roughness and the processing conditions of dose and development. The sidewall roughness results, however, indicate that the sidewall roughness is relatively independent of the dose and development conditions for the negative-tone, chemically- amplified resist, Shipley SAL 605. The root mean square roughness (Rrms) for the resist sidewalls was on the order of 5.2 +/- 0.5 nm for X-ray exposure. The top surface roughness for the resist at optimized lithographic conditions of 80 mJ/cm2 developed with 0.254 N tetramethylammonium hydroxide was 7.2 +/- 1 nm. These studies, looking at the effects of dose, have shown that increasing the dose decreases the top surface roughness. The extent of the linking reaction, as measured by FTIR, has been compared to the roughness of the resist for samples that have the same approximate linking but have had radically different dose and thermal histories. These preliminary results indicate that there is a general correlation between the extent of linking and the roughness. Samples exposed to a very high dose (650 mJ/cm2) but subjected to short post-exposure bake times (4.1 sec at 108 degree(s)) show similar roughness to samples exposed with lower doses (150 mJ/cm2) but longer PEB times (40 sec at 108 degree(s)). The development conditions provide another major contributing factor in the top surface roughness. Decreasing the developer concentration decreases the top surface roughness of the resist. Adding particular quaternary ammonium salts to the developer decreases the surface roughness and slows the dissolution rate. The goal of these efforts with developer additives was to find the appropriate processing conditions that would yield surface roughness below 3 nm for 100 nm lines. This paper will also explore possible explanations for the effect of developer conditions on the observed roughness in light of current dissolution theories.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new class of novolaks capable of self associating has been synthesized. The associating structures are resulted via extended network of hydrogen bonding. Softening temperatures of the associating novolaks are found to be 15 - 25 degree(s)C higher than their non-associating analogs. The photoresist formulated with such associating novolaks have heat deformation temperature in the range of 130 - 140 degree(s)C. Features with sub 0.35 micrometers could be resolved using i-Line exposure. Site specific hydrogen bonding in such associating novolaks is studied by NMR and molecular simulations.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
One such issue is the quantitative control of critical dimension (CD) and how to calibrate fab contamination levels to linewidth control. Since most fabs build several generations of devices, contamination levels for older generations may not be suitable for new generations. Therefore, studies to control CD for each generation are required to determine the effectiveness of filtration schemes. In this paper the authors have investigated CD control for imaging dimensions from 0.25 micrometers to 0.15 micrometers . We have also correlated this data back to chemical monitoring levels to determine CD vs. PED stability for these geometry's to determine the contamination level tolerance. Additionally, the authors have generated process windows to determine the effect such delays have on process windows.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
AZTM BARLiTM II materials are used as highly absorptive bottom antireflective coatings (BARC) for i-line lithographic applications. The BARC formulations consist of polymer-bound dyes with the additives formulated in photoresist compatible solvents, containing none of monomeric chromophores, and showing excellent coating uniformity. We have continued to study the functional performance of the BARC materials on swing reduction, lithography, coating surface defect, thermal stability, forced aging behavior, etc. Strategy of formulations and recommendations on standard processing conditions will also be discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A number of polymeric azo dyes was synthesized in our laboratories in the course of developing and studying i-line bottom antireflective coating materials. The key step in the synthesis involved formation of a diazonium salt intermediate which is a highly energetic species and can quickly convert to a couple of by-products, depending on the reaction medium such as solvent, temperature, time, and acidity. It is important to understand the mechanistic insight and compositional changes during the course of the reaction. ASi ReactIRTM 1000 reaction analysis system was used as the on-line monitor to follow such complicate process. By using this technique, we were able to obtain high quality kinetic data for thermal stability study of the intermediate, gained good understanding of reaction mechanism, optimized the synthesis process effectively, and achieved good control of reaction yield. The in-situ FT-IR technique proved to be a powerful tool for monitoring and controlling such a process. The highly absorptive polymers synthesized by the optimized process showed good consistency of the overall lithographic performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper reports wafer level trace metal concentrations from typical lithography processing and models the process from bulk materials concentrations in order to establish meaningful material specifications. Working from a simple deposition model, we determined the critical volume responsible for a surface metal concentration. Using literature derived spin coat models, we explore how this critical volume can be explained by the spin coat process mechanisms. Results are reported using typical post pattern transfer photoresist removal steps: a photoresist ash step and a sulfuric/peroxide clean. Using the surface level results, a comparison is made to the SIA roadmaps, as well as effects on general transistor characteristics, to draw conclusions on the impact to device performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In KrF or ArF resist processing, chemically amplified resists are widely used for ULSI device fabrication. Decomposition (positive resist) or cross linking (negative resist) is amplified by an acid catalytic reaction during post-exposure baking (PEB). We previously demonstrated PEB and development simulations including T-top forming using a cluster model based upon percolation theory. The cluster formation process and the cell combination rule should be reflected in resist processing conditions and resist synthesis processes such as using some additives. So, we investigated the cell combination rule in cluster formation, varying resist process conditions. Using this cluster model, a new resist process model is developed. Molecular interaction dependence on resist sensitivity is investigated by a cluster formation chart. The strength of the molecular interaction affects resist sensitivity. This model is consistent with the sensitivity changes in Si-resist experiments and dielectric constant calculations of several ArF resists. From the cluster forming chart, we see that the resist matrix becomes gel or sol state near the critical point of development. This simulation result theoretically supports a salting out experiment analysis, and shows a large cluster near the critical point. This fact is verified by AFM surface observations, which are related to resist surface roughness. Consequently, cluster structure is formed due to the molecular interaction, leading to resist dissolution rate features such as sensitivity.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Taguchi methods of robust design presents a way to optimize output process performance through an organized set of experiments by using orthogonal arrays. Analysis of variance and signal-to-noise ratio is used to evaluate the contribution of each of the process controllable parameters in the realization of the process optimization. In the photoresist deposition process, there are numerous controllable parameters that can affect the surface quality and thickness of the final photoresist layer. To maximize the thickness and minimize the bubble formation of Shipley SJR 5740 photoresist (our optimum goal), eleven control parameters were selected and evaluated at two distinct levels. For a full factorial matrix experiment, 2048 experiments would have been necessary. Instead, by utilizing the orthogonal array concept, only 12 experiments were necessary for the optimization.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The differences in the dissolution behavior of novolac and poly(4-hydroxystyrene) (PHS) can be explained in terms of differences in the pKa of the two polymers. The proximity of the hydroxyl groups in ortho-ortho bonded novolac causes a large change in the pKa of the polymer as a function of the degree of ionization. The increased distance between phenolic sites in PHS attenuates this effect. Novolac and PHS samples have been titrated from basic to acidic conditions to determine the `apparent pKa' of each polymer as a function of degree of ionization, (alpha) . The turbidimetric endpoints show that novolac precipitates earlier in the titration than PHS. This differences provides an explanation for the `ortho-ortho effect' and the different inhibition efficiencies of these polymers.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Several low molecular weight polyhydroxy phenolic compounds were used to study their effect on DNQ novolak photoresist compositions. These compounds used with fractionated novolak resins in a positive photoresist composition, tend to improve the photospeed, but in some cases degrades the other characteristics of the resist including resolution and depth of focus. Improvements in performance (photospeed, sidewall angle, resolution, and depth of focus) may depend on the structure of the speed enhancer. Speed enhancers were obtained commercially or synthesized, characterized by HPLC and NMR, and were formulated as i-line resists. The goal of these studies was to find out the relationship between the structure of the speed enhancer and the performance of the resist.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An improved process for isolation of novolak resins from phenol-formaldehyde condensation products has been developed. The process results in resins having low polydispersity and higher photospeed while typical phenol/formaldehyde resin syntheses generate a broad distribution of molecular weight fragments with a wide polydispersity. The novolak resins were characterized by NMR and GPC and were formulated to obtain ultra fast high resolution i-line photoresists. The characteristics of the resins and their effect on lithographic properties as i-line photoresist compositions will be discussed in this paper.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Thin film interference plays an important role in critical dimension control of single layer resists causing large changes in the effective exposure dose due to small changes in optical phase. To overcome these problems bilayer resists have been proposed. Advantages to such systems include enhanced process latitude, enhanced resolution, and improved critical dimension control due to minimization of substrate reflectivity. In this paper, we have investigated the effects of the underlayer with respect to the optical properties as well as the chemical composition on the performance of bilayer resists for 248 nm lithography. The optimum optical constants (index of refraction n((lambda) ) and extinction coefficient k((lambda) )) of the underlayer were deduced by simulations. It was also found that with some underlayers, the optical properties could be tuned by controlling the processing conditions. Novolaks have been found to interact with the resist resulting in significant residue limiting the resolution of the 248 nm bilayer resist to 150 nm. Properly designing the underlayer with suitable optical constants and preventing resist/underlayer interaction resulted in 125 nm resolution with a 248 nm bilayer resist. We also investigated the use of an amorphous diamond-like carbon film as an underlayer material. Thin films, deposited by plasma enhanced chemical vapor deposition, offers advantages over spin on hard baked polymers because it can be deposited conformally with high optical purity. Furthermore, the composition and optical properties can be fine-tuned by changing the process parameters.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Realistic simulation of DNQ-novolac thick film resist performance requires accurate modeling of a number of steps including light propagation inside the resist and the development process. This paper addresses several advanced topics for the characterization and modeling of thick film resists including exposure induced changes in the resist refractive index and depth dependent development rate functions. Simulations performed using traditional light propagation models such as the scaled-defocus algorithm are compared to the new finite difference beam-propagation algorithm which can account for exposure induced resist refractive index changes. The impact of depth dependent development rate phenomena will also be discussed. A series of simulated profiles are compared to experimental results for two thick film resist series, AZTM AEP4000 and AZTM AE9200.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
It is well known that onium salt structure has an influence on resist resolution and post exposure delay stability as well as solubility in typical resist solvents. As a result of our study, it was found that resists have higher contrast when t-butylphenyl substituents replaced phenyl or alkyl substituents in the cation segment of onium-type photoacid generators in both iodonium and sulfonium systems. Dissolution inhibition appeared to play a primary role. In this paper we also report the results of our investigation into onium-type photoacid generators possessing reduced diffusion, lower volatility and suitable acidity to cleave common protecting groups such as t-butoxycarbonyl, acetal and t-butyl. Substituted benzene sulfonic acids were very useful for cleaving common protecting groups in polyhydroxystyrene based Deep-UV resist systems. The addition of alkyl groups to the ring had only slight effect on acid diffusion. Perfluoro sulfonic acids were required to cleave adequately, the acid stable leaving groups in methacrylate resist systems.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We investigated means of the linewidth control of the 0.20 micrometers isolated line of the chemically amplified resist with a conventional exposure method (without specific illumination optics or mask). In order to clarify the influence of the light intensity of the optical image on a wafer, we calculated the optical image by photolithography simulator `Prolith/2'. This result suggests that the CD deviation between isolated lines and dense lines depends on the optical parameters. For the purpose of controlling the CD deviation between isolated and dense lines, we tried to control the acid diffusion length and the dissolution characteristic. In order to control the acid diffusion length, post-exposure baking temperature and an acid diffusion inhibitor worked effectively. On the other hand, an dissolution inhibitor having several functional groups which was able to form hydrogen-bonds with hydroxyl groups of the base polymer contributed to adjustment of dissolution characteristics. Using these results, we developed a resist of which the isolated and dense line were quite well controlled. Further, this resist had a good resolution and a good pattern profile and resolved 0.18 micrometers lines and spaces pattern using KrF excimer laser stepper (Nikon NSR, NA equals 0.55).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
`Non-critical' levels such as implant layer consume a large volume of photoresist. This work was done to choose a cost- effective, high performance implant resist implant resist. IN addition to resolution considerations, outgassing during implant, speed and cost were all evaluated to choose the successful candidate. Through this effort a new resist formulation, SumiresistTM PFM-10 was compared with other existing I-line formulations.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Chemically-amplified positive DUV photoresists are well known to exhibit small profile deviation at the resist substrate interface, commonly called footing, when processed on substrates like silicon oxynitride (SiON), titanium nitride, and boron phosphorous silicate glass. Severe footing can cause etch problems resulting in critical dimension nonuniformity and degraded lithographic performance. The objective of this paper is to examine possible solutions to footing on SiON substrates by focusing on three main areas: photoresist formulation, photoresist processing and substrate manipulation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
With the semiconductor industry moving towards deeper submicron device geometries, lithography is an increasingly critical step in the semiconductor manufacturing process. This places great emphasis on attaining tighter resist thickness uniformity. Tighter uniformity and elimination of coating anomalies is critical for optimal alignment accuracy and linewidth control. The use of low viscosity photoresists for less than 7000 angstroms film thickness is important due to the higher degree of substrate planarization and need for improved lithographic resolution. The objective of this project was to characterize low viscosity coating characteristics. The work was done on a SVG 90S track system. The viscosities of resists used were less than 10 cp.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper reports our work on a series of alicyclic polymer-based photoresist platforms designed for 193 nm lithography. The polymers described here were prepared from derivatives of norbornene and appropriate co-monomers by either free radical or ring opening metathesis polymerization methods. A variety of techniques were explored as a means of enhancing the lithographic, optical, dissolution, and mechanical properties of photoresists formulated from these alicyclic polymers. Recent studies designed to improve the lithographic performance of photoresists formulated with these materials are described.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new, simple, highly sensitive, and reliable technique has been developed for measuring acid concentration in solutions and in thin polymer films. This technique is applicable to the study of photoacid generation in chemically amplified resist systems. It employs fluorescent, acid sensitive compounds such as N-(9-acrydinyl)acetamide which undergo large changes in fluorescence as a function of degree of protonation. We have used this technique to quantify the amount of acid generated as a function of 193 nm exposure dose in alicyclic polymer matrices containing photoacid generators such as triphenylsulfonium hexafluoroantimonate. We are continuing to explore the general utility of this new analytical technique which allows the study of diffusional processes and the determination of quantum efficiencies in thin polymer films of the kind used in deep-UV (KrF at 248 nm, ArF at 193 nm) lithography. Such data are of importance in the modeling of chemically amplified resist systems.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Current negative tone resists based on poly(4- hydroxystyrene) and aminoplast crosslinkers suffer from the limited solubility of commercially available crosslinkers in the most common casting solvents. The aminoplast crosslinkers also increase the dissolution rate of the base resin in aqueous alkaline developer. The lithographic performance of these resists is often limited by microbridging at high resolution. In this paper, synthesis of a series of glycoluril based aminoplast crosslinkers is described and the lithographic performance of resist formulations incorporating such compounds is discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A high-silicon-concentration top surface imaging (TSI) process for 193-nm lithography has been developed using vapor phase silylation, using dimethylaminopentamethyldisiloxane as a silylation agent and polydihydroxystyrene as a resist material. The etching rate of silylated resist can be explained by the relationship between the silicon content, the decomposition temperature, and the density. The pattern profile of the new TSI process can resolve 0.14 micrometers L/S. Although silylated layer flow occurs in a process below the glass transition temperature, the flow problem can be resolved by using a chemically amplified resist.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We evaluated three chemically amplified positive-tone resists which are mainly based on cyclo-hexyl-carboxylic- acid-silsesquioxane. A resist had a good resolution capability of K1 equals 0.435 and a process window of 0.4 micrometers depth of focus at 0.15 micrometers L/S pattern, however its dissolution characteristics was poor. The resist that was improved the resistance to aqueous base developer, had an excellent resolution capability of K1 equals 0.404. It had a permissive sensitivity of 13 mJ/cm2. The bilayer pattern profile dependencies on the transparency of the upper layer resist and the line edge roughness of the resist before and after the dry-development process were also examined. These results showed the applicability of the silsesquioxane based resist to the bilayer resist process for ArF lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Molecular orbital calculations to predict the activation energy of silylation are performed. The activation energy for polyvinylphenol is predicted to be 19.6 kcal/mol at the MP-2 (the second-order Moller-Plesset perturbation theory) level, and this value is in good agreement with an experimental value of 19.4 kcal/mol. Theoretical values calculated from the Hartree-Fock and nonlocal density functional theories are found to be larger than the experimental value by about 15 kcal/mol and 5 kcal/mol, respectively. Thus, the MP-2 level of calculation is required for a quantitative prediction of the activation energy of silylation. Comparison between the theoretical and experimental values further showed that the rate-determining step of the silylation is the diffusion when pure polyvinylphenol is silylated, whereas it is the reaction when additives are mixed to polyvinylphenol. This result shows that the theoretical calculations become a tool for clarifying the kinetics of silylation, and can be used for designing new materials. The activation energy of silylation for carboxylic acid and alcohol is also predicted, and experiments to silylate polyvinylalcohol are performed. It is shown that the alcohol unit can be silylated with a higher activation energy than that for polyvinylphenol, whereas for carboxylic acid, significant desilylation may occur after the silylation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have investigated dry-etching resistance of methacrylate polymers for use as ArF chemically amplified resists and proposed a new etching model that can predict the etching rate very accurately. The examined polymers were methacrylate polymers with alicyclic groups. The polymers were dry etched using a LAM TCP-9400 machine under the chlorine-based gas conditions used for poly-silicon etching. The obtained etching rate was explained in term of a carbon- atom-density parameter known as the ohnishi parameter. However, the fitting accuracy is not good enough especially for alicyclic polymers (R equals 0.87). And a ring parameter model also resulted in a similar fitting accuracy (R equals 0.86). Hence, we proposed a new model that introduced polymer-structure dependence into the carbon-atom-density model. The new model gives excellent agreement with measured data (R equals 0.99). And it is very useful in designing ArF resist polymers and predicting etching resistance of future ArF resists.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new negative resist consisting of an anhydride, an acrylic acid, an epoxy crosslinker and a photoacid generator is introduced. In the exposed area, the epoxy groups of the crosslinker react with anhydride groups and/or carboxylic acids in the polymer under existence of photogenerated acid as a catalyst during post exposure baking. A 0.20 micrometers pattern was resolved by an ArF exposure at a dose of 28 mJ/cm2.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We investigated the dissolution properties of methacrylate polymers to study the development mechanism of chemically amplified resists. We measured the dissolution rate of methacrylate copolymers as a function of the hydrophilic unit content, and simulated dissolution phenomena based on percolation theory. The dissolution rate curves we obtained had strong nonlinear dependence on hydrophilic unit content. In the simulation analysis, base molecule diffusion through the hydrophilic path was modeled as particle diffusion through the percolation field. The diffusion constant was calculated based on conventional and modified diffusion models. The width of the diffusion path is not considered in a conventional model. We suggested a new variable to describe diffusion path width in the modified model. The simulation results indicated that our model reproduced the experimental results better than the conventional model.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A rigorous simulator which includes the highly nonlinear diffusion and stress dependent reactions present in silylation is presented and used to assess the role of the key physical parameters in the resultant profile shapes for silicon uptake. The silylation model implemented includes (1) the relaxation of the polymer during silylation, (2) the resultant increase in the diffusivity of the silylating agent as a function of the resist matrix expansion, as well as a (3) local reaction rate retardation due to stresses associated with nonuniform resist swelling. The resulting differential equations are solved utilizing a Krylov subspace Newton convergence accelerator. A greater than one order of magnitude decrease is observed in simulation times as compared to traditional numerical techniques. Simulations of silylated profiles with various silylation uptake regimes elucidate the interplay of the physical mechanisms model in determining final silylation depth and sidewall angle.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Semiconductor manufacturers continue to look for better techniques to create salable devices. As with any other manufacturing entity, cost effectiveness without sacrificing quality is the key. In photolithographic manufacturing, the elements that drive the cost are raw materials, process time (throughput), and process complexity (number and iterations of process phases). The specific area being addressed by this paper is the implant layers of the semiconductor fabrication process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Conventional acetal based, chemically amplified DUV photoresists are known to yield unacceptable shrinkage after exposure due to volatile nature of the byproducts generated after acid catalysis at room temperature. This paper mainly demonstrates that all acetal based resists do not produce the same extent of shrinkage. Higher boiling vinyl ether acetal (HBVEA) polymers can potentially be used to completely minimize the shrinkage (< 0.6%) and this value is independent of the blocking levels of the polymer. Dissolution rate in the exposed areas are found to be relatively greater for HBVEA polymers when compared to low boiling vinyl ether acetal polymers under identical conditions. Observed less shrinkage and higher dissolution rate values are attributed to the non-volatile nature of the alcohol generated in the film after photo-acid induced deprotection reaction. HBVEA polymers are demonstrated to resolve 175 nm lines/spaces using 0.53 NA stepper.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Commercially available photoresists from the major photoresist vendors are investigated using a PAS 5500/300 wafer stepper, a 31.1 mm diameter field size high throughput wafer stepper with variable NA capability up to 0.63. The critical dimension (CD) investigated is 0.25 micrometers and lower for dense and isolated lines and 0.25 micrometers for dense contact holes. The photoresist process performance is quantified by measuring exposure-defocus windows for a specific resolution using a CD SEM. Photoresists that are comparable with or better than APEX-E with RTC top coat, which is the current base line process for lines and spaces imaging performance, are Clariant AZ-DX1300 and Shin Etsu SEPR-4103PB50. Most recent photoresists have much improved delay performance when compared to APEX without top coat. Improvement, when an organic BARC is applied, depends on the actual photoresist characteristics. The optimal photoresist found for 0.25 micrometers contact holes is TOK DP015 C. This process operates at optimal conditions.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Top surface imaging provides one process alternative for 193 nm lithography and is an important aspect of the EUV imaging strategy. This paper describes an effort to characterize the fundamental mechanisms underlying the silylation process. The extent of silylation has been measured as a function of exposure dose using FTIR spectroscopy. These studies demonstrate that for certain polymers, the extent of silylation has a nonlinear dependence on exposure dose. Simulation modeling has demonstrated how this nonlinearity may contribute to improved contrast. The influence of resist optical density on the shape of the silylated image has also been simulated, and these results have helped guide the design of polymers with the optimal optical density. The TSI processes function on the basis of photogenerated differences in the reactive transport of the silylating agent into and through the resist film. We are exploring alternative mechanisms for photo-induced changes in the permeability of the silylating agent. The results of these studies will be presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper presents a discussion on the development of i- line resist chemistry as anticipated by the future technology and the needs of the new exposure tools. Commercially available high numerical aperture (NA) and low NA wide field i-line steppers stand to gain in throughput as the resist photospeed is increased. However, the advantages of developing 50 mJ/cm2 or faster resist products capable of less than 0.3 micrometers resolution is not clear at the present time. Future development of i-line scanners and greater demands on throughput may give rise to the need for such products. Conventional novolak based/diazonaphthoquinone resist chemistry is not capable of providing such performance. Chemically amplified (CA) resist products employed in deep ultra violet (DUV) regime demonstrated the capability of this chemistry to deliver high resolution and photospeed performance. If such a performance is to be needed in i-line, then CA i-line resist chemistry would be the technology of choice. Significant resist cost reduction is possible if i-line transparent phenolic resins, such as novolaks, can be used replacing expensive poly-hydroxystyrene base polymers that are necessary to use in DUV resist products.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The removal of photoresist and etch residues from inter- metal dielectrics using SB-SOM requires new strategies in order for the dielectric constant to remain low during stripping. In this paper we examine two silsesquioxane polymers. The first, HSQ, can be successfully cleaned by implementing a process using H2/N2 plasma in conjunction with PosistripTM EKCTM LE, while the second, MSQ, can be cleaned in a unique wet step with EKC325TM.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The effects of developer temperature on dissolution behavior for eight g-line and i-line resists, ranging from first- generation to state-of-the-art formulations, are characterized using development rate measurements. Using the RDA-790 development rate measurement tool, dissolution rates as a function of dose and depth into the resist were measured. Each data set was analyzed and the basic performance of rate versus photoactive compound concentrations was fit to appropriate models. The variation of these results with temperature of the developer solution has led to temperature-dependent characterization of the dissolution modeling parameters. Two such parameters, the maximum dissolution rate Rmax and the dissolution selectivity parameter n, are shown to exhibit an Arrhenius behavior with well defined activation energies.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A variety of different approaches were used in an effort to improve the photospeeds of single component TSI resists based on poly(4-hydroxystyrene). The variations included molecular weights, co-monomer partners, and selected substituents. The factors that were studied dramatically affected silylation rates, in one case by as much as an order of magnitude. However, when the silylation times were adjusted to compensate for the rate differences and silylation depths, only minimal differences in photospeed were observed. The apparent contrast measured by swelling upon silylation was very poor ((gamma) equals 1.5) while the contrast measured after etching was quite high, approximately ten times that of the silylation value.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Advanced complementary color filter technology without dyeing process has been developed to simplify color filter fabrication process and to improve UV-resistance and thermal stability of color filter for CCD image sensors and CMOS image sensors. Using this advanced technology, complementary color filter is able to be fabricated by conventional lithography process with usual TMAH developer. This technology has achieved fine resolution of less than 1.5 micrometers lines and spaces in spite of previous inclusion of complementary colorings within the photo-sensitive polymers. These photo-sensitive polymers are negative type and consist of five key elements. The performance of the color filter characteristics that UV-resistance is more than 30 million lux-hour and thermal stability is more than 250 degree(s)C, has been realized by the advanced technology. Consequently this technology has been applied to 1/4-inch CCD image sensors, the optical color characteristics of the CCD image sensors has been achieved nearly same and good color spectrums as the conventional one.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Model compounds formed by the reaction of m-cresol with 2,6- bis(hydroxymethyl)-p-cresol, DMPC, were isolated and characterized by 13C NMR. DMPC was found to couple at the 2, 4, and 6-positions of m-cresol at a rate of 12%, 34% and 54% respectively. The condensation reactions of m-cresol and DMPC with 2-hydroxy-3,5-dimethylbenzyl alcohol, 2-HDBA, or 4-hydroxy-3,5-dimethylbenzyl alcohol, 4-HDBA, were determined by 13C NMR to form novolak resins in a manner predicted by model compound data. The introduction of 2,4- dimethylphenol and 2,6-dimethylphenol as specific end-groups to novolak resins was demonstrated to affect both the resin dissolution and photoresist properties. Novolaks end-capped with the more highly o-o' coupled 2,4-dimethylphenol group have lower dissolution rates while the more p-p' coupled, intermolecular oriented, 2,6-dimethylphenol group show higher dissolution rates in TMAH. For the resins investigated, photoresist resolution properties appear to be dictated by the bulk resin structure. Photospeed, however, was greatly enhanced by the 2,6-dimethylphenol end-group. This knowledge was then applied towards the design of novolak resins having built-in dissolution and photospeed promoters, and a novolak/diazonaphthoquinone 0.25 micrometers capable i-line photoresist.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper, we describe a new methodology for the determination of Dill parameters based on reflectivity measurements. In opposition to previous methods, samples are produced using the usual process steps. Silicon wafers and standard photoresist coating procedures are used. Moreover, reflectivity measurements can be performed on any reflectometer, a piece of equipment universally present in an industrial environment. A fitting procedure is performed on the reflectivity data in order to extract the ABC parameters. The delicate steps of the methods are described in the paper. Comparison with other exposure parameter extraction methods on I line resist shows good agreement. Dependence between exposure parameters and development parameter extraction is also discussed. It is shown that several empirical parameter sets can be equivalent, at least from the simulation point of view.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We propose a new bi-level resist system for nanometer lithography based on a polysilphenylenesiloxene resist over a conductive polianiline bottom layer for nanometer lithography. By introducing suitable polar functional groups, the polysilphenylenesiloxane negative electron-beam resist exhibits high sensitivity and high resolution with tetramethylammoniumhydroxide development. The sulfonated polyaniline in the conductive bottom layer can reduce resist charging and width shifts in the oxygen plasma etching. This conductive bi-level resist system can reduce pattern distortion from alignment errors and the proximity effect. The conductive resist system can also reduce the gate oxide leakage or breakdown caused by resist charging during the plasma etching process in MOS-LSI device fabrication. This system shows high pattern accuracy and process reliability, demonstrating its high potential for application in nanometer generation ULSI production.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The method of simultaneous positive and negative pattern formation on a single positive photoresist layer is described. A negative photoresist pattern was fabricated by using local laser exposure to crosslink a positive resist layer, consecutive UV flood exposure, and resist developing. The positive pattern is obtained on the same photoresist layer in the areas masked from the UV flood exposure. Effects of laser energy and resist processing parameters on height and width of negative type resist structures were investigated. Metal line grid structures with lines in the region of 3 to 30 micrometers in width were manufactured on a 5' X 5' glass substrate using this technique. The proposed method of positive/negative pattern formation significantly reduces the number of technological steps in the fabrication of diffractive elements for dual-wavelength applications.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper presents the synthesis, electronic absorption characteristics, thermal stability, acid sensitivity and lithographic potential of (alpha) -methylbenzylether protected poly(hydroxystyrene) derivatives. The results demonstrate the potential of (alpha) -methylbenzylether as an acid labile protecting group in the design of high performance DUV positive tone resist materials.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Traditional I-line resists commonly use novolak as matrix resin and diazonaphthoquinone as photosensitizer. Novolak resins, however, can not be used in Deep-UV resist formulations because of their high optical absorption at 248 nm. When the lithography migrated to Deep-UV technology, polyvinylphenols (PVP), which has a low absorption at 248 nm, became the resin of choice. Styrene maleic anhydride polymers also have a low optical absorption at 248 nm and are commercially available with relatively lower cost than current polyvinylphenols. Therefore, we felt that styrene maleic anhydride polymers would be of interest for DUV resist applications. In our investigation, the styrene maleic anhydride copolymer was first reacted with methanol to form the half ester then was protected with tetrahydropyranyl and tetrahydrofuranyl groups using p- toluene sulfonic acid as catalyst. Since the protected polymers have no base soluble component, they exhibit high inhibition in TMAH developer. TGA analysis also shows these polymers having reasonable thermal stability with the onset of decomposition at temperature above 150 degree C. However the resists formulated with these polymers showed poor adhesion property. By blending PVP with these polymers in resist formulation, the adhesion problem was resolved and reasonable lithographic performance was obtained.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The aim of this paper is to present two process monitor methods concerning the early detection of process problems resulting from different components of a lithography module (so-called cluster) under conditions of deep ultraviolet lithography. The lithography clusters are monitored with bare silicon wafers which run through a normal process sequence of the cluster. Then, measurements of critical dimension and defect density are performed. The first measurement indicates whether the focus or the exposure dose run out of their target values, the latter detects defects on, within or underneath the resist which may indicate a process problem in one of the cluster parts. Since defect density measurement inspects all dies on the wafer, it was able to detect process problems that result in massive variations of pattern size when the measured values of critical dimensions were still within their specifications. This helped us to derive instructions for operators and stepper and track maintenance personnel that specify a maximum delay time between exposure and development.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An important component of a photoresist formulation is the photoactive compound. In conventional I-line resist, it is the DNQ molecule. In chemically amplified resists, it is the photoacid generator or the PAG. This component acts as the link between the exposure tool and the photoresist system. While PAGs for the 248 nm or DUV application are plenty, there is little effort in the arena of i-line PAGs. Typically, energy transfer in i-line lithography is achieved by using a DUV PAG in conjunction with an i-line energy transfer agent called sensitizer. This combination works very well, as described by workers before. This paper describes a polymer-bound sensitizer, which while maintaining the performance characteristics of a monomeric sensitizer, also enhances the solubility characteristics and the thermal stability of the resist.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new process, dry developable chemically amplified resist system, was proposed. A new matrix polymer for this process was synthesized and characterized. The thermal deprotection of 2-trimethylsilyl-2-propyl group of the matrix polymer takes place at 200 degree(s)C, whereas the acid catalyzed deprotection begins at 100 degree(s)C. The difference of silicon content between unexposed region and exposed regions is large enough to form patterns using oxygen reactive-ion etching. The etching selectivity of the unexposed region to the exposed region was about 142. The matrix polymer has good transmittance at 248 nm and 193 nm. In addition, the polymer possesses good thermal stability up to 200 degree(s)C and high Tg.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In the past, a number of authors have described the advantages of the silylation reaction performed in a novolak resist's latent image. Both the advantages and difficulties were described. A process based on silylation of latent images was shown to improve the working resolution in a novolak resist due to the surface imaging principle. The difficulties included swelling of the resist film during silylation resulting in some loss of dimensional control of critical dimensions. This paper describes a different approach to near surface imaging. The method relies on the use of spin-on, closely planarizing polymeric antireflective coating, such as AZTMBARLiTM coating, followed by imaging thin (less than 0.5 micron) i-line resist. After a conventional lithographic process which includes a wet develop step, a silylation reaction is performed. Swelling of the real resist image due to silylation is controllable within necessary tolerances. Image transfer process of the silylated image is also described.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The use of bottom antireflective coatings is one of the techniques that enable the fab engineer to extend the life of conventional i-line lithography into the deep submicron range. Spin-on antireflective coatings are highly effective in reducing the swing curve as well as standing wave and notching phenomena, and can be made to show extensive planarization. However, organic spin-on BARCs show lower etch selectivity than inorganic layers, so that they require specific attention in the dry etch image transfer step due to the potential for resist film loss. In this paper, we show that the interplay between the characteristics of wet developed features and of the dry etch image transfer step can be utilized to expand the process window for both isolated and dense lines in a 0.34 micrometers i-line production process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
High contrast i-line resist have been traditionally achieved by using a blend of high molecular weight fractionated polymers with small dissolution rate enhancing additives. The fractionated polymer generally has low polydispersity and is manufactured through a costly, time consuming, and environmentally unfriendly fractionation process. A new Shipley resist, Ultra-i 120, has demonstrated sub-0.3 micrometers resolution with desired process capability without the use of fractionated polymers or dissolution rate enhancers. We achieved the high resolution by using the strategy of formulating a narrowly polydispersed, highly inhibiting, non-fractionated polymer with a blend of large, highly inhibiting PACs (photo-active compounds) with oligomeric ballast groups and smaller co-PACs. The high inhibition effects from the large PAC and the narrow poly-dispersivity of the polymer gives the resist very high contrast. The smaller co-PAC helps to enhance the photospeed of the resist and minimize the standing waves originating from the large PAC. The formulation strategy and the lithographic performance of Ultra-i 120 will be described using standard 90 degree(s)C soft bake and 110 degree(s)C PEB process and 2.38% TMAH (non-surfactant) developing process. The factors contributing to the high resist contrast will be identified and discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
When positive chemically amplified resists are used on basic stepped substrates to produce patterns, a resist residue often forms at the bottom of the step. This paper discusses the results of investigating the cause of the chemically amplified resist residue formation as it is encountered during patterning on stepped substrates.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper reports the development of local differential thermal analysis and ellipsometry to measure the glass transition temperature (Tg) of thin films of photoresist. We apply the techniques to measure the glass transition temperature as a function of the film thickness of polystyrene and poly(methyl methacrylate) (PMMA). We also study the effect of the duration of post apply bake and the extent of reaction on the Tg of films of a negative photoresist, SAL605. Measurements of the Tg as a function of film thickness of polystyrene cast on native silicon oxide substrates primed with hexamethyldisiloxane show that the Tg is depressed incrementally as thickness decreases to a maximum of Tg - 20 degree(s)C for film thicknesses below 80 nm. Films of PMMA cast on native silicon oxide show a similar depression in the Tg of 10 degree(s)C for film thicknesses less than 70 nm. Our study of SAL605 photoresist finds that the Tg is not influenced by the condensation reaction between the crosslinker and resin. We find that there is a strong plasticizing effect by the residual solvent in SAL605 over short PAB times that can change the Tg by as much as 15 degree(s)C. The Tg reaches a steady value after 30 seconds of baking at 90 degree(s)C.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Thin layer imaging can extend the optical lithography limit down to sub-0.18 micrometers CD with 193 nm wavelength tools. Thin layer imaging can be implemented in a bi-layer approach, in which a patterned thin layer is transferred into an underlying organic planarizing layer. It can also be implemented in a single-layer hardmask process, in which a photodefineable oxide precursor is used to directly pattern a device layer. In the first portion of our study, a plasma polymerized methyl silane (PPMS) bi-layer baseline process has been characterized for photospeed, resolution, and line edge roughness (LER). 1500 angstroms thick organosilane films were patterned by a photo-oxidation process using a 193 nm stepper (NA equals 0.6). The process exhibits photospeeds that are easily tuned from 40 to 100 mJ/cm2 in a well-controlled manner by adjusting the PPMS CVD deposition parameters. The process has demonstrated a resolution of 0.13 micrometers . We show that the total dry-develop process time is critical in determining the lithographic process latitude, photospeed, resolution and LER characteristics. The CVD resist process is most attractive if the thin layer can be directly converted into a thin oxide hard mask, useful for transferring the pattern directly into an underlying device layer. We demonstrate a CVD photoresist process in which patterned PPMS is converted into a silicon dioxide hardmask, and then transferred into underlying amorphous-Si layers with high sensitivity. Using this technique, we have successfully demonstrated 0.15 micrometers resolution amorphous-Si lines.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The use of bottom antireflective coatings (BARCs) as a means for controlling substrate reflectivity and thin film effects, has become commonplace in today's wafer fabs. In an effort to simplify process integration, reduce environmental impact, and reduce processing costs, some next generation organic BARC materials have recently been introduced which are formulated with photoresist compatible solvent systems. This study examines the process effects of converting from the cyclohexanone based AZTM BARLiTM anti-reflective coating, to the recently introduced PGME/Ethyl Lactate based AZTM BARLiTM II anti-reflective coating. We will present a comparison of the optical properties of the two products, and examine i-line lithographic process effects including process latitudes, CD distributions, and coat defects, as well as post etch CD distributions, and dye sublimation during cure.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Susan C. Palmateer, Susan G. Cann, Jane E. Curtin, Scott P. Doran, Lynn M. Eriksen, Anthony R. Forte, Roderick R. Kunz, Theodore M. Lyszczarz, Margaret B. Stern, et al.
We have characterized line-edge roughness in single-layer, top-surface imaging, bilayer and trilayer resist schemes. The results indicate that in dry developed resists there is inherent line-edge roughness which results from the etch mask, resist (planarizing layer) erosion, and their dependence on plasma etch conditions. In top surface imaging the abruptness of the etch mask, i.e., the silylation contrast, and the silicon content in the silylated areas are the most significant contributors to line-edge roughness. Nevertheless, even in the case of a trilayer, where the SiO2 layer represents the near ideal mask, there is still resist sidewall roughness of the planarizing layer observed which is plasma induced and polymer dependent. The mechanism and magnitude of line-edge roughness are different for different resist schemes, and require specific optimization. Plasma etching of silicon, like O2 dry development, contributes to the final line-edge roughness of patterned features.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An extensive 2- and 3-D analysis of air flow in a POLARISTM 2200 Microlithography Cluster spin coater was conducted using FLUENTTM Computational Fluid Dynamics (CFD) software. To supplement this analysis, direct measurement of air flow velocity was also performed using a DantecTM Hot Wire Anemometer. Velocity measurements were made along two major planes across the entire flow field in the spin coater at various operating conditions. It was found that the flow velocity at the spin coater inlet is much lower than previously assumed and quite nonuniform. Based on this observation, a pressure boundary condition rather than a velocity boundary condition was used for subsequent CFD analysis. A comparison between calculated results and experimental data shows that the 3D model accurately predicts the air flow field in the spin coater. An added advantage of this approach is that the CFD model can be easily generated from the mechanical design database and used to analyze the effect of design changes. The modeled and measured results show that the flow pattern in the spin bowl is affected by interactions between the spinning wafer, exhaust flow, and the gap between the spin head and surrounding baffle. Different operating conditions such as spin speed, inlet pressure, and exhaust pressure were found to generate substantially different flow patterns. It was also found that backflow of air could be generated under certain conditions.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Diffusion of the photogenerated acid during the period of time between exposure and development can cause contrast loss and ultimately loss of the latent image. This is especially relevant for chemically amplified photoresists that require a post-exposure baking step, which in turn facilitates acid diffusion due to the high temperature normally employed. It is thus important to develop techniques with good spatial resolution to monitor the photogeneration of acid. More precisely, we need techniques that provide two distinct types of information: spatial resolution on various length scales within the surface layer and also sufficient depth resolution so that one can observe the transition from very surface layer to bulk structure in the polymer blend coated on silicon substrate. Herein laser scanning confocal microscopy is used to evaluate the resist for the first time. We report the use of the confocal microscopy to map the pag/dye distribution in PHS matrices, with both reflectance images and fluorescence images. A laser beam is focused onto a small 3D volume element, termed a voxel. It is typically 200 nm X 200 nm laterally and 800 nm axially. The illuminated voxel is viewed such that only signals emanating from this voxel are detected, i.e., signal from outside the probed voxel is not detected. By adjusting the vertical position of the laser focal point, the voxel can be moved to the designated lateral plane to produce an image. Contrast caused by topology difference between the exposed and unexposed area can be eliminated. Bis-p-butylphenyl iodonium triflat (7% of polyhydroxystyrene) is used as photoacid generators. 5% - 18% (by weight, PHS Mn equals 13 k) resist in PGMEA solution is spin cast onto the treated quartz disk with thickness of 1.4 micrometers , 5 micrometers space/10 micrometers pitch chrome mask is used to generate the pattern with mercury DUV illumination. Fluoresceinamine, the pH-sensitive dye, is also used to enhance the contrast of fluorescence image. The typical PEB temperature is 90 degree(s)C for 90 seconds. 488 nm is used as the excitation wavelength. Both reflectance and fluorescence images (> 510 nm) are processed by using Adobe Photoshop. It was found that the reflectance is more sensitive to the change of the refractive index of the resist while the fluorescence is more sensitive to the distribution of the PAG/dye. The NIH Image software is used for acid exchange rate calculation. Second Fick's Law is applied to analyze the image change. The diffusion coefficient for this PAG in PHS during PEB is smaller than 8.8 X 10-13 cm2/s.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Plasma polymerized methylsilane (PPMS) films exposed to UV light in the presence of air undergo photo-oxidation to produce a glass-like material, PPMSO, which can be selectively removed using aqueous HF based chemistries. We find that PPMS, used in such positive tone imaging processes, suffers from several drawbacks which make it an unattractive candidate for use as an imaging layer in positive tone resist schemes. We have used infrared and X- ray photoelectron spectroscopy to characterize the PPMS films. We have found evidence for the presence of bridging methylene groups in the Si-Si backbone of the PPMS polymer at the PPMS/Substrate interface. This thin underlayer of a polycarbosilane material is initially deposited in the PPMS CVD process and is insoluble in aqueous or vapor HF contributing to residue after the development. The limitation as to how rapidly PPMS films can be photo- oxidized in the presence of air using high-fluence laser steppers is determined by the rate of oxygen diffusion into the PPMS films during exposure. This reciprocity failure exhibited by PPMS films may limit positive tone PPMS processing from consideration in high-throughput UV based lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new development rate model is proposed which is based on an equation derived by Huang, Reiser and Kwei for the concentration dependence of the dissolution rate of acidic resins in aqueous alkaline developers. This equation predicts cessation of development at a critical concentration c*. Experiments in which the developer strength was varied shows that the critical concentration c* is a linear function of the normalized sensitizer concentration m of positive-tone resists. The model is shown to reproduce the R(m) curves of conventional photoresists well, but it does not fully the unusual drop in the development rate curves at comparatively low relative sensitizer concentrations of m equals 0.4 to 0.7 shown by high- performance resist systems. This physical phenomenon can be related to a selective dissolution effect in which the lower molecular weight component of the two-component resins typically used in these resists is leached out of the surface areas. The onset of this phenomenon leads to a sudden lowering of the resist dissolution rate which is described by the introduction of a `notch function.'
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Bottom antireflective coatings (BARCs) have been widely used in the industry to push i-line technology for a finer resolution. They have been shown to be highly effective in suppressing reflective notching and standing wave effects, in the reduction of the resist swing ratio and the improvement of the resist process latitude. One of the issues which has to be addressed by the design of any such coating is the problem of resist/bottom coat intermixing. The formation of an intermixing layer is usually suppressed either by crosslinking the BARC, or by using a polymer that is insoluble in the common resist casting solvents. This work describes a novel class of antireflective bottom coatings which are spin cast from the ultimate environmentally friendly solvent, water. The design requirements and philosophy of the water-borne polymer systems will be discussed. These polymers show high Ohnishi numbers, and the prediction of high etch rates is borne out by dry etch experiments. Polymer optical data have been obtained by UV spectroscopy and spectroscopic ellipsometry, and these optical and physical properties will be reported and related to their lithographic performance which is found to be equivalent to that of existing solvent-based antireflective coatings.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
New bottom antireflective coatings (BARCs) for 193 nm lithography have been recently developed by Brewer Science Inc. Copolymers of benzyl methacrylate (or benzyl acrylate) and hydroxypropyl methacrylate have been synthesized and used as a main component in 193 nm BARCs. The acrylic copolymers have strong absorbance at 193 nm UV light wavelength. The 193 nm BARCs were formulated in safe solvents such as ethyl lactate and formed by spin-on coating process. Thermosetting of the 193 nm BARCs limited their intermixing with photoresists. These 193 nm BARCs had optical density of about 10 micrometers -1, k equals 0.35, and n equals 1.81. Preliminary oxygen plasma etch rates were > 1.5 times DUV resists. Good profiles at small feature sizes (< 0.20 micrometers ) were achieved with tested photoresists.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The acid-catalyzed interconversion of cyclic anhydride and di-acid or ester-acid groups within polymers rich in vicinal dicarboxyls, such as are found in many copolymers of maleic anhydride, is the basis for a new kind of resist chemistry that is not susceptible to many of the problems found in existing chemically-amplified resists that are based on acid-cleavable carbonate, ester, ether or acetal groups. With sufficient vicinal dicarboxyls, or other hydrophilic contribution, the hydrated forms of these relatively UV- transparent polymers dissolve in relatively polar solvents, and even (in the extreme) in neutral water, in which the dehydrated (i.e. anhydride) forms are insoluble. Combining with water-dispersible diphenyliodonium initiator gives chemically-amplified resists that can thus be spin-coated, then (according to sequence of heat, humidity and UV radiation) developed into an image of either positive or negative tone, and eventually stripped from substrate--each step using only plain neutral water as the processing liquid. Plasma etch resistance was evaluated for both hydrated and dehydrated forms of several of these polymers, including some with polycyclic comonomers: in general, a larger number of cycles in the structure improved the etch resistance, even (surprisingly so) when such were oxygen- containing rings of the cyclic anhydride functionalities. Such reactive films would also lend themselves well to incorporation of a variety of organic and inorganic species for `functional patterning', and oxygen plasma development.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The chemical reactions and the dissolution properties of homopolymeric PMMA and a P(MMA-co-MAA) copolymer were investigated during DUV (KrF, 248 nm) and e-beam exposure. The chain scission reaction was analyzed using GPC. The polymer degradation reaction is very similar at both exposure procedures. In both cases a bimodal and, later, a multimodal character of the molecular weight distribution is observed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.