PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
Semiconductor technology has moved in an accelerating pace. memory devices drive density and the main efforts are in cell architecture and contact shrink. Logic devices, on the other hand, drive performance and the emphases are on poly gate CD and interconnect efficiency. In this paper, logic device trends will be presented and their impact on mask making technology will be discussed. Challenges in mask blanks, poly CD control, large data file size, applications of resolution enhancement techniques will be covered. Recent market environment and its implication for mask/reticle cost consideration will also be presented. Finally challenges on a different dimension and scale in post-optical lithography mask making will be discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The development of DRAM is currently in transition from a 0.25 micrometers design rule for 256Mbit DRAM to a 0.18 micrometers design rule for 1 Gbit DRAM. There are five important points to improving mask technology for DRAM fabrication below 0.18 micrometers design rule. One is good CD linearity between mask pattern size and design pattern size with minimal pattern size and density dependence. Second is high defect inspection sensitivity and good repair capability in PSM and OPC mask patterns, any angle patterns, and contact patterns, because printing pattern defects are caused not only by mask defects but also by limitations of lithographic resolution. Third is a small minimum address size which needs to be below 0.005 micrometers for memory cell design. Fourth is large reticle size which should be greater than the current 6- inches for multi-die reticles. The fifth and final point is new pellicle material research which is needed for ArF lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The technology in use in today's mask shop may not be adequate to meet 180 nm production requirements. In particular, requirements for linewidth control, linewidth uniformity, and registration are tightening at a breakneck pace. In the past, incremental improvements to registration and linewidth have been adequate to keep pace with steadily evolving mask requirements. This paradigm of continuous incremental improvement is not longer a valid model. Mask writers are needed that can deliver a higher dose to support advanced resists for superior critical dimension (CD) control, write faster to compensate for shrinking device sizes, and incorporate advanced calibration and error control schemes to improve placement accuracy. This paper describes key electron-beam pattern generation activities necessary to meet 180 nm mask requirements. This includes testing and implementation of multipass graybeam to improve throughput at lower addresses without compromising lithography quality, a new resist and process capable of supporting dry etching, and a data path capable of supporting addressing to 10 nm. Multipass gray (MPG) writing strategy was introduced with the MEBES 4500S. The ability to deliver a 4X improvement in dose while improving throughput is a significant advantage over previous MEBES systems. Because MPG is used in conjunction with offset scan voting, improvements in registration performance and a reduction in butting of over 50 percent have been demonstrated. Some of the process improvements attributed to a high dose mask writer are also documented in this paper. CD uniformity improvements with ZEP 7000 and dry etch at a 720 nm nominal feature size and smaller are also discussed in some detail.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new electron beam lithography system for masks needed in production of 1Gbit DRAM devices was developed and evaluated. The system features a variable shaped beam, 50 kV accelerating voltage, and a step and repeat stage, and incorporates new technologies, including a high resolution high current density electron optical system, a per-shot beam correction unit, a high precision beam detection system utilizing the curve fitting method, and a single-stage 20 bit beam deflection unit. The initial evaluation confirmed a minimum line-width of 100nm, a line-width uniformity of 20 nm within a field, a total positional accuracy, including field stitching and in-field positional accuracy of 20 nm and an exposure speed 3 times faster than that of the existing model, JBX-7000MVII. It was thus verified that the new EB system is capable to produce masks needed for next generation devices including 1 Gbit DRAMs.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
X-ray lithography (XRL) is a leading candidate among next- generation lithographic technologies for printing patterns of 130 nm and below. The years of development and feasibility studies have culminated in XRL's being used in advanced trial fabrications of devices. XRL is now at a stage requiring development and verification aimed at its practical use as an industrial technology. This is also the objective of the super-fine SR lithography laboratory of the Association of Super-Advanced Electronics Technologies (ASET). This paper reviews the present status and remaining issues for each component of XRL and presents the recent result of the Super-fine SR Lithography Laboratory of ASET. XRL has shown steady improvement in recent years, and plans to resolve the remaining issues are now being implemented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
IBM's Advanced Mask Facility in Essex Junction, Vermont, is responsible for the company's x-ray mask technology development and manufacturing. During the first half of 1997, this facility replaced the gold x-ray absorber with a refractory material in order to provide a greater compatibility between proximity x-ray masks and semiconductor manufacturing lines. Significant progress has been made to position x-ray masks are currently being delivered for advanced logic and memory applications. This paper discusses key process improvements such as the implementation of new deposition, annealing, etching and measurement equipment. Performance of critical parameters is presented, including the quality of substrates and absorber film stacks, image size and placement control, and defect density. The technology challenges and IBM's roadmap for application masks below 130nm are also provided.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
To achieve high-precision x-ray masks, we have developed an extremely low-stress chromium-nitride (CrN) film for use as a hardmask for x-ray absorber etching. We have fabricated 0.10-micrometers line-and-space patterns in a 0.4-micrometers -thick tantalum-germanium (TaGe) alloy x-ray absorber using a 75- nm-thick CrN hardmask. The CrN film was etched by reactive- ion etching using chlorine gas mixed with oxygen. The etching selectivity between the TaGe alloy and the CrN was 13 when using electron-cyclotron-resonance plasma etching with sulfur hexafluoride (SF6) gas. We have also investigated the durability of the CrN film to various acids which are widely used for silicon-wafer cleaning processes. The CrN etching rate for those acids was 3 nm/min or less. These results demonstrate that a sputtered CrN film is an excellent hardmask for precise x-ray mask fabrication.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The growth of the semiconductor industry is driven by steady advances in microlithography. The Semiconductor Industry Association (SIA) Roadmap has been revised for 1997 with accelerated requirements. The 180nm generation has been pulled in two years from 2001 to 1999. Subsequent generations have all been accelerated one year. In addition, the Roadmap is now driven by microprocessor needs. The minimum feature size is now the isolated line of a microprocessor gate, and it is approximately 75 percent of the generation size. Optical lithography is reaching its cost-effective limits near 130nm. The next generation lithography must be available by 2003 for pilot production, but the path to get there is not clear. To meet the needs of its members, SEMATECH has embarked on a program to explore and reach a global consensus on the technology options on the Roadmap for NGL. This paper will review the revised SIA Roadmap and its implications on the mask industry for smaller feature sizes, larger reticles and new technologies. The paper will also review the status of the SEMATECH program to reach consensus on NGL including the critical issues for each technology. The role of the mask industry will be explored.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The 1Gb DRAM generation and the 4X reticle require CD accuracy of less than 30nm, and we need breakthrough of the reticle manufacturing process and material. We think the best way to introduce dry etching and thin Cr film. First, we optimized a developing condition to improve CD accuracy and the resist sidewall because they are definite factors for lithography of dry etching. Next, we evaluated etching conditions using a design of experiment and the end point detector of the machine, which enable us to use a constant recipe despite loading effect. Additionally, we improved resist quality to decrease small defects, and we applied dry etching to 0.22 micrometers rule reticle production line. Furthermore, we investigated thin Cr film on wafer lithography and fabricated 1Gbit DRAM masks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Fabrication of 0.18 micrometers generation clearfield logic device photomask with plasma etch was compared with wet etch method in current 0.25 micrometers mask technology. Spatial consistency between the resist develop and plasma etch modules was critical to achieve < 25 nm CD rng manufacturable process. CD linearity for 0.6 to 3.0 micrometers lines and isolated-nested CD bias for 1.0 micrometers lines were both improved with the plasma etch process. Resist loading and proximity effect is critical for plasma etched clearfield mask and can account for up to 20 nm range of overall CD budget.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Dry-etching process has possibility for fabricate small features and to enhance resolution limit because of smaller CD shift during etching. Recently, optical proximity patterns (OPC) like serif decorations begin to be adopted for mass-production masks, Dry etching is effective for OPC patterns fabrication. However, in order to bring dry-etching into production, some issues have to be overcome. In this paper, we evaluate etching characteristics such as selectivity to resist, edge roughness, edge shape, loading effect, micro-loading effect, critical dimension uniformity, and performance of optical proximity pattern fabrication using inductively coupled plasma, plasma etch, and reactive ion etching. It was confirmed that dry-etching process reduced edge roughness of chrome patterns. Among three plasma sources, ICP was most effective for reducing loading effect and micro-loading effect and obtaining vertical edge shape. The issue of dry process with ICP was low selectivity to resist. It was approximately 1. CD loss uniformity processed with each dry etching system was not satisfied with our target. Finally, we demonstrated to fabricate capacitor patterns of 0.15 micrometers -design rule with serif decorations.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have proposed the cost model of advanced mask and calculated the cost of advanced mask as the ratio for the 152 mm, 5x reticle cost of 64MDRAM, 0.35 micrometers design rule. The 152 mm, 5x reticle cost of 256MDRAM, 0.25 micrometers design rule is 1.6 times higher. The 152 mm, 4x reticle cost of 1GDRAM, 0.18 micrometers design rule is 3.5 times higher. The 230 mm, 4x reticle cost of 4GDRAM, 0.13 micrometers design rule is seven times higher. The reticle cost increases rapidly with each generation. Based on the calculated results of the reticle cost, we have calculated the CoO of advanced optical lithography, and compared it with those in E-beam and x-ray lithography. The cost of optical lithography is the cheapest throughout the generation, assuming the reticle lifetime of more than 1350 wafers. However, the cost of optical lithography becomes more expensive if we assume the reticle lifetime of less than 800 wafers in 0.13 micrometers design rule and 1350 wafers in 0.18 micrometers design rule, respectively.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Recently, next-generation mask fabrication processes have been actively examined for application with Electron Beam writing tools and chemically amplified resists. In this study, we used a variable shaped electron beam writing system with an accelerating voltage and chemically amplified resist to investigate the dependence of the CD error in a localized area of a 6025 mask on the process factors, with the goal of fabricating more accurate masks with improving sensitivity. Our results indicated that CD error in a localized area did not depend on the resist thickness. Higher sensitivity and CD uniformity were achieved simultaneously. Moreover, we could isolate the CD error caused by the resist heating effect is more apparent for higher doses than lower doses. However, a higher dose gives rise to a small CD change rate. In this experiment, the effect of the lower CD change rate at a higher dose counterbalances the resist heating effect. By decreasing CD error in a localized area, we obtained a CD uniformity of 14 nm in a 100 mm area on the mask.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have developed a novolak-based chemical-amplification resist for 0.18-micrometers reticle fabrication. This resist prevents resist footing on a chromium-oxide (CrOx) substrate by use of a matrix resin whose molecular-weight distribution is controlled. With the resist, we could fabricate 0.8-micrometers line-and-space patterns on a CrOx substrate at a dose of 6.0 (mu) C/cm2. Under the dry-air condition, the line-width change was less than 10 nm when the delay between e-beam exposure and post-exposure-baking was from 0.5 to 6 hours. When plates of the resist coating were in a chemical filter testing box under the dry-air condition, the resist sensitivity was preserved for 7 days.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In reticle manufacturing process, error budget of CD uniformity includes error components caused from material process, exposure system, mask process and measurement technology. We examined error source coming from material process. In the previous paper, the variation of resists thickness was not so dominant to affect CD variation. But it is clear that the variation of resist thickness deeply affects CD linearity. We found that the variation of resists thickness is related to repeatability of fine patterns making such as an OPC structure. Because feature size of OPC patterns are almost as same as the smallest pattern size to be realized by current exposure systems.In this report we will show a method which is called 'spin cup' to achieve the sophisticated design for mask making as a resist coating machine maker. We hope it will be a solution to make mask which has highly accurate resist thickness.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An automatic alternative phase shift mask (PSM) pattern layout tool has been newly developed. This tool is dedicated for embedded DRAM in logic device to shrink gate line width with improving line width controllability in lithography process with a design rule below 0.18 micrometers by the KrF excimer laser exposure. The tool can crete Levenson type PSM used being coupled with a binary mask adopting a double exposure method for positive photo resist. By using graphs, this tool automatically creates alternative PSM patterns. Moreover, it does not give any phase conflicts. By adopting it to actual embedded DRAM in logic cells, we have provided 0.16 micrometers gate resist patterns at both random logic and DRAM areas. The patterns were fabricated using two masks with the double exposure method. Gate line width has been well controlled under a practical exposure-focus window.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Tropel has developed a new instrument for the measurement of next generation photomasks. The instrument is capable of measuring the flatness of rough lapped, fine lapped and polished mask blanks with better than 0.1 micrometer accuracy. Well suited to production control and process development, the instrument utilizes a non-contact method to perform complete surface evaluation in less than 1 minute. The fundamental measurement technique is grazing-incidence interferometry. A novel optical design suppresses interference fringes from unwanted second surface reflections. This is a significant advantage over normal- incidence interferometers that typically require photomasks to be temporarily coated to address second surface interference effects. Coating and subsequent cleaning may damage polished photomasks as well as add unnecessary process steps.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The world semiconductor industry is currently preparing itself for the next evolutionary step in the ongoing development of the integrated circuit, characterized by the 0.18 micrometers technology. These circuits will invariably be produced using conventional optical lithography techniques, but based on advanced photomasks reticles, with the possible assistance of new lithography techniques such as phase shift and optical proximity correction. As such, these reticles will need to be built to very much tighter specifications, with more complex patterns, and at very much smaller geometries, then current technologies. To add to the already complex engineering task for the mask tool makers, the new SEMI reticle standard will introduce a 230 mm by 230 mm large and 9 mm thick quartz glass blank that will have a weight of above one kilogram. The production of these advanced masks is therefore identified as a key enabling technology which will stretch the capabilities of the manufacturing process, and its equipment, to the limit.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
HL-800M has been developed as electron beam reticle writing system (EB) for advanced reticle production. It is very important for EB to keep high performance constantly in the actual advanced reticle production. To meet such a requirement, this system adopts accelerated voltage of 50kV, variable shaped beam, continuous moving stage and 3-stage deflector. Especially, to improve the positioning accuracy, this system has temperature control system, active vibration-isolation system and the new software for position error correction. The proximity effect correction which changes exposure shot time depending on the pattern density and the multi-exposure function are also installed. As a result, the positing accuracy of 32nm and the long term placement of 28 nm are obtained. The line-width linearity from 1 micrometers to 10 micrometers is within the range of 70 nm, and 40 nm form 1 micrometers to 3 micrometers . The stitching accuracy at the stripe boundary is 26nm, and 20nm in case of the 3-path exposure.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The ALTA 3500, an advanced scanned-laser mask lithography tool produced by Etec, was introduced to the marketplace in September 1997. The system architecture was described and an initial performance evaluation was presented. This system, based on the ALTA 3000, uses a new 33.3X, 0.8 NA final reduction lens to reduce the spot size to 0.27 micrometers FWHM, thereby affording improved resolution and pattern acuity on the mask. To take advantage of the improved resolution, a new anisotropic chrome etch process has been developed and introduced along with change from Olin 895i resist to TOK iP3600 resist. In this paper we will more extensively describe the performance of the ALTA 3500 and the performance of these new processes.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In order to obtain a precise dose control for proximity effect correction, a fast beam blanking system has been developed which can make possible the fine control of the beam pulse width with precision of less than 1 nanosecond. The system consists of a high precision blanker driving circuit and a blanking structure suitable for fast operation. The blanker driving circuit controls the pulse width by selecting delay line logic with required delay. The pulse width control of less than 1 nanosecond and pulse rising time of less than 10 nanoseconds were achieved. A coaxial structure was adopted for the blanking structure. The simulation study has shown that a blanking structure with low reflectance in a few GHz range is achievable. The pulse passed through an experimental blanking structure without distortion in waveform.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Precise measurement of the pattern position and width of x- ray masks is very important for producing highly accurate masks. When the position and width are measured by an optical system while moving the mask on an x-y stage in air, degradation of measurement accuracy or unstable focusing can sometimes occur. To investigate these phenomena, we estimated the measurement accuracy of pattern width and position. A comparison of the measurement accuracy of the width and position of patterns on an x-ray mask with that on a Si wafer showed the measurement accuracy of the pattern width in the membrane is worse than that on the wafer. Moreover, we found that pattern position in the membrane can be measured on the same order as that on the wafer. To improve measurement accuracy, we developed a new technique that involves covering the space in the back side of the membrane and confirmed that the measurement accuracy of pattern width in the membrane is on the same order as that on the wafer.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The Quartz etch improvement program is established to technically understand and ascertain the limits, hardware implications and process conditions associated with the etching of Quartz Photomasks. This study explores several process parameters as well as salient hardware changes and ultimately analyzes results by etching test Photomasks as well as a test structure closely emulating a Levenson-style Phase Shift Photomask.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Attenuated phase-shifting masks have gained wide acceptance in the manufacturing environment during the last few years. Etching attenuated films remains a challenging process step that affects several critical mask parameters including critical dimension (CD) and phase angle. This paper reports the result of etching MoSi attenuated phase-shifting materials using an inductively coupled plasma system. CD and phase-control performance is presented as well as a performance comparison between ICP and reactive ion etching. Attenuated PSMs have typically been used primarily for contact-type patterns. However, recent lithographic simulation result show significant benefits of attenuated PSM with off-axis illumination for gate-type patterns. Fabrication of gate-type attenuated PSMs introduces new challenges for the etch process. Initial etch performance result are also presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
When pattern size on the mask is getting smaller, wet etching can not control the CD well enough and it is also difficult to add CD bias by using wet etch, especially at the contact layer. Dry etching process is therefore used to meet these requirements. This paper is to report the problems we have encountered during the development of dry etching process and to introduce a two-step, wet then dry etching process, which we used to solve these problems.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We developed a new positive E-beam resist, 'ERB'-900 MX for fabrication of advanced masks for the next generation. 'ERB'-900 MX is a non-chemically amplified resist based on novolak and naphthoquinonediazide. A newly designed additive and modification of the novolak resin contributed to improvement of sensitivity and resolution. There are two types of 'ERB'-900 MX, 'ERB'-900 MX(alpha) and MX(beta) whose solvents are different. Most important feature of 'ERB'-900 MX is highly sensitive. Especially, 'ERB'-900 MX(beta) showed excellent sensitivity of 3.5 (mu) C/cm2 at 20 keV and 12 (mu) C/cm2 at 50 keV. Stability of 'ERB'-900 MX after EB exposure was quite good. No practical change in sensitivity was observed for 12 hours in air and in vacuum. CD linearity of 'ERB'-900 MX(alpha) was around 0.75 micrometers for isolated patterns. CD bias for dry-etch process of 'ERB'-900 MX(alpha) is around 0.1micrometers which is comparable to that of 'ERB'-900 M-1. We confirmed that 'ERB'-900 MX has excellent performance suitable for fabrication of advanced masks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As the design pattern size at photomask is rapidly down to sub-micron, photomask manufacturing face up the situation developed unfavorably who has been enjoyed the long vacation with the 5X reticle through the prematured wet process. Also the wet etching process, the traditional process of photomasks is faced the limitation of resolution, linearity and corner rounding. The isotropic etching through the chemical reaction under the photoresist on wet etching process suggest the resolution limitation of typical wet etching process around 1.0 micrometers +/- 0.2 micrometers . The poor corner rounding and linearity is also considered as the major spot o f wet etching process. As explained, the resolution limitation of wet process has been hindered the application in present semiconductors market and, in addition, far from the demand of 0.18 micrometers design rule. On this paper, we reviewed the comparison of dry and wet etching process result to clarify the possible improvements through the dry etching. And based on this comparison we set possible way of improvements at the conclusion.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We describe here a general purpose graph partitioning system, especially suitable for VLSI applications. The partitioner has at its core a spectral based graph partitioner. In our strategy, the input netlist is first coarsened into a smaller netlist and the core spectral partitioner then proceeds to partition this coarsened netlist. This coarse partition is then lifted to a partition of the original netlist. The coarsener is fairly subtle and uses the theory of submodular functions, and of matchings. We also highlight some of our results.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Designing modern processors is a great challenges as they involve millions of components. Traditional techniques of testing and simulation do not suffice as the amount of testing required is quite enormous. Design verification is an effective alternative technique for increasing the confidence in the design. Formal verification involves checking whether the system being verified behaves as per the specification using mathematical techniques. In this paper we describe some techniques for enhancing the use of formal methods for the specification and verification of hardware system. We examine how the language Esterel can be used to specify and verify properties of pipelined microprocessor. We also discuss methods for taking hardware descriptions of simple circuits written in VHDL and automatically generating the inputs needed by a theorem prover to prove properties of the circuit.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Critical-dimension (CD) uniformity of the mask is the most critical issue for the quarter micron technology and below. Resist development methods, baking temperature uniformity and etching methods are very important parameters for the mask making to obtain good CD uniformity. New development systems which has a capability of applying several development methods for chemical amplification resist (CAR) was developed. The CD uniformity characteristics of three chemical amplification resists (CAR-A: negative, CAR-B: positive) were examined by using this system. In the experiments, a high-acceleration voltage electron beam system, a newly developed post exposure baking system, a precise metrology system and 6025 mask plates were used. The CD uniformity of CAR-B with conventional shower-spray development method gives worst CD variation than those of paddle development and dip development. It was also found that the development method which gives smaller damage on the resist layer gives smaller CD variation. The minimum CD variation was 16 nm in 3 (sigma) . The CD distributions in a mask plate were dependent on the resist materials. It also depends on other factors such as resist thickness, bake temperature and so on. By the optimization of these parameters, there are possibilities to obtain much smaller CD variations in a mask plate.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A positive-tone, chemically amplified resist (CAR) has been evaluated in comparison with non-CARs in its applicability to fabrication of reticles to be used for 180-nm-rule devices. The evaluated CAR is found to have better performance than the non-CARs. It has high sensitivity and high contrast enough to be used with e-beam writing systems, and good dry-etch durability. Its characteristics when exposed with e-beam writing system with different acceleration voltages is studied. The CD linearity is maintain down to 400 nm for all patterns by adoption of a 50 kV e-beam system and a proximity effect correction. The resolution limit is 150 nm for lines-and-space pattern. The allowance of the baking conditions is examined and the use of a highly temperature-controllable hot-plate yields good CD uniformity. The influence of the ammonia concentration in the process environment of the post exposure delay stability is investigated. The CAR should be used under a concentration of ammonia less than ca. 5 ppb, which is obtainable by use of a chemical filter. In conclusion, it is demonstrated that the CAR meets our requirements for resist for 180-nm-rule reticle fabrication.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The negative tone electron beam resist of ZEN4100 whose base resin was polystyrene-copolymer has been developed for photomask fabrication. We have investigated how polydispersivity influenced to this resist. In this paper polydispersivity is an important parameter to determine polymer properties on contrast because the sensitivity of resist is a function of molecular weight which is deeply related to polydispersivity. For this experiment we prepared two sample polymers which were extracted from the original polymer. A weight of polymers was higher than that of the original polymer. Another molecular weight of polymers was lower than that of the original polymer. The polydispersivity of the both polymers were lower than that of the original polymer. When we applied sample polymers to the resist material, the contrast of the resist resulted in excellent. We found that the resist which was made from the low molecular weight polymer had good properties as the previous paper said. And against our expectation high molecular one had good properties in terms of gamma value. We have focused on this method for applying to photomask fabrication with a commercially available way.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
To reduce defect density in real reticles, it is important to control the quality of materials such as resist. In the development of electron beam resist for reticle making, we have tried to improve quality and performance of the resist materials. In this paper, we describe a new resist which has good stability for reticle making. ZEN4100 which is commercially available from NIPPON ZEON CO., LTD. consists of halogenated polystyrene as a main polymer and two kinds of radical captures. Those radical captures bring good edge roughness of patterns on reticle. Because those radical captures trap electrons which travel in unexposed area to crete latent images in accordance with design data. As described in previous paper, the amount of those radical captures is deeply related to CD variation. This means those additives suppress to generate the scum and tails of resist patterns. After researching new radical captures, we found a new resist designed for use in mass production. As a result, no change in the composition of chemicals has been observed for more than six months.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The laser lithography tool has been employed as a reticle writer, and has come to the front for advanced reticle fabrication since its second generation system of CORE-2564 TM was delivered to the industry in 1992. (Figure la). Resist for i-line wafer fabrication is available for the reticle writer, as it is utilizing a light source of Ar laser (363.8nm). With the laser writer, as the standard resist, OCG-895i IM is the most popular one so far in leading edge 6" square and 250 mils thick (6025 ) reticle fabrication to USA and Europe and THMR-iPl700 TM was introduced to Japan and Asia. (Figure ib). In order to meet requirements for advanced reticle fabrication in critical dimension (CD) performance, CD error sources due to blanks should be confined to a minimum, so that blanks enhancement is much more desired. Several strategies have been proposed to reduce the CD error sources, and one is to optimize resist coating process condition. We at HOYA had accomplished 895i coating process optimization in pre-coating treatment, coating thickness, post-spin baking (PSB), and reported in SPIE Vol. 25 12-04, 2621-09, and so on. We released such blanks to the industry in 1995, and its practical value has been appreciated by the blanks users so far. Another one is to look for and utilize an alternative resist, which has better process latitude. We have been evaluating THMR-iP3000 ' series if it could be a replacement resist over the present standard OCG-895i and iPl700. In this paper, we will report comparative evaluation results of THMR-iP3600 TM as a replacement resist of the present standard OCG-895i and THMR-iPl700. This study was done as preliminary one by a leading-edge i-line stepper of NSR-22O5il2D '' modified for 6025 reticle fabrication, instead of an actual laser writer, for our convenience on experimental study. We will also report our study results of iP3600 development condition optimization results to expand the process latitude.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The budget of reticle pattern placement error, using variable-shaped step and repeat electron beam writer has been studied. There are three major factors in the reticle writing process, the stage moving accuracy, the electron beam deflection accuracy and the charge-up of dielectric layers such as resist on the blanks. The charge-up of the blank surface causes the distortion error in the deflection field and the butting error surrounding exposure fields boundary. Moreover, the charge-up of the substrate strongly depends on the amount of the incident electron beam which penetrate the blank surface. Moreover, the charge-up of the blank surface causes registration error in the phase-shift mask manufacturing process. Because the alignment mark used to be exposed by the electron beam whose damage was more than that of pattern writing. In this paper, we report on the result with a variable-shaped step and repeat electron beam reticle writer focusing on the influence of the pattern density which effects a change in the charge-up of the blank surface and on the impact of overlay error in the second exposure process. In addition, we describe placement accuracy by applying electron conductive layer to the blank surface.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An assist-feature mask was fabricated for 0.2 micrometers window pattern formations using a dry etching process. Although the mask's assist-features were as small as 0.68 micrometers , mask inspection was successfully carried out using the cell-shift method. In addition, defects in assist-features were repaired by use of a laser mask repair system. The lithographic performance of this assist-feature mask was compared with that of a conventional mask, using a 4x KrF excimer laser exposure tool and a 0.7 micrometers thick positive resist. The numerical aperture (NA) of the exposure tool was 0.55 and annular illumination was used. The depth of focus of the 0.2 micrometers window was improved from 0.4 to 0.6 micrometers . Moreover, it was confirmed that defects in the assist- feature have little influence on the focus latitude of the main pattern. The DOF of patterns repaired with this technique recovered to nearly the same as that of the no- defect pattern.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Mask specification requirements in production have been extremely tightened for 0.35 micrometers and 0.25 micrometers devices. Mask critical dimension (CD) specifications and transmission error specs, which were hardly taken care of in the 0.5 micrometers device generations, are the most important ones because they will affect size of optical lithographic exposure-defocus (ED) window significantly. The conventional mask quality assurance methods such as 5 point CD measurements within a mask are no longer effective if one considers CD at stripe butting, CD after focused ion beam defect repair and optical transmissivity after such repair. More extensive assurance methods and specs for such matters are required based on actual ED window.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have developed a new CD measurement method for a chromium pattern on a photomask. Using the scanning confocal laser microscope, we can not only measure CD of a chromium pattern, but also predict width of the chromium pattern tail. Using a scanning confocal laser microscope, we can obtain a reflective intensity profile. We can observe the minima in the profile of a chromium pattern. The position of the minimum almost corresponds to the pattern edge, in spite of a little offset. As a result of our analysis, there is a correlation between the offset and the chromium tail width, and the correlation depends on wavelength of a laser. Using two profiles with two wavelengths, we can obtain the chromium bottom width and the chromium tail width by two equations. By comparing the results of our method and the result of cross-sectional SEM observation, we have confirmed they are god agreement.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
There are several ways to measure distortion of lithography exposure equipment, and with each of the distortion metrology technique, coordinate errors of distortion measurement pattern on the reticle affect distortion measurements based on the coordinate error. We have found, however, that when measuring distortion using two different reticles on the same exposure tool, the two measurement results did not agree with each other with a difference at a 20 nm level even after being corrected according to the measured reticle coordinate error.After studying this problem, we successfully had distortion measurements on the wafer using two different reticles agree with each other at a 10 nm level by introducing a technique called STofs., system offset, method. This paper reports that exposure tool distortion can be extracted with more precision by applying the new reticle coordinate error measurement technique.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have investigated the pellicle induced distortions on mask of different sizes. The pattern placement on the masks was measured prior to the pelliclization and after pelliclization. The performance of the automatic pelliclization process was compared to the distortions induced by manual pellicle mounting. All investigations were performed using the LEICA LMS IPRO at Leica's demo center in Wetzlar and at Siemens Mask Shop in Munich. The LMS IPRO was equipped with the new long working distance lens having a free working distance of 8mm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The contact-free photomask cleaning using the UV irradiation has been studied. With the UV from the low pressure mercury lump, we can clean a photomask preventing the mask plate from any contacts with chemicals or DIW in the cleaning process steps. We can be free from any residua or waterprints in the photomask cleaning process process steps by UV. In the UV cleaning, we have observed the position selective cleaning effect. The dependence of the cleaning effect on the particle size has also been observed. As a result of a series of experiments, we can conclude that the cleaning effect strongly depends on the heat stored in the contamination. The chromium thinning free cleaning conditions is also examined.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As the IC design rule is getting smaller, mask writer's accuracy plays a more important role in mask making. In order to reduce writer's butting error, high end writers all use multi-pass writing technique. Theoretically, the more passes are used, the smaller butting error is expected. When writer with multi-pass technique has encountered abnormal sectors in the pattern loading system, extra or missing bits might cause CD variation on the paste. We found high end masks with CD variation smaller than 0.15 micrometers written by an eight pass writing system. It is very important to be able to detect this kind of CD error before the mask is shipped. In this paper, we will discuss TMC's approach to the detection of CD error caused by the writer.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
When the IC design rule goes down to 0.25 micrometers or smaller, it brings many more difficulties in reticle manufacturing and inspection. For reticle inspection, the challenges come from the accuracy and sensitivity of inspection machines and defect classifications by the operators. In past few years, based on multiple inspection tools, TMC had developed the defect reviewing system to collect all inspection data and monitor/analyze them. The purpose is to integrate the scanning results from multiple machines and compensate for the loss of human judgments. This integrated methodology not only compares the defect locations among different machines and multiple scans, but also provides the statistics information to help us control the reticle quality.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We investigated the sensitivity of Advanced Performance Algorithm (APA) which was installed in KLA351. It was found that the sensitivity of APA was much better than that of P1831 which was previously installed in KLA351. 1 GDRAM reticles were inspected by APA successfully while we could not achieve it with P1831. HOwever, APA has still some issues as follows: (1) Missing some kinds of defects when tested with our sensitivity test reticle. (2) Falling short of the sensitivity requirement for 1 GDRAM reticles fabrication. We should also report that there are some issues which are deeply related to the reticle fabrication process, because we have recognized again that the possibility of inspection algorithm depends on pattern edge roughness which comes from the reticle fabrication process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper describes a reference data generation method applied to a newly developed photolithographic mask inspection system, the MC-2000, for 256 Mbit and 1 Gbit DRAMs. The MC-2000, which utilizes i-line wavelength optics, is designed to have a defect detection capability as fine as 0.2 micrometers . A new reference data generation method employing a gray map pattern is effective for system performance in terms of accuracy of the map pattern and speed of the map data handling. Notable features of the gray map pattern generation method are simple algorithm and ease of hardware implementation. Corner pattern rounding circuit, re-sizing circuit, and reference data calculation have been developed together and are described, too. The proposed method was evaluated and an example of the detection of 0.2 micrometers defect is reported.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As design rules in high-end photo-lithographic reticles become tighter, the monitoring of line-width variations becomes more vital in the quality control of advance reticle manufacturing processes. In this paper a new concept of operation is presented, for using an inspection tool in the monitoring of line-width variants for the purpose improving such quality control. The inspection tool use in this paper, is Orbot-Applied's RT8000ES Reticle Inspection tool, in which the newly developed Line Width Error Detector is embedded.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
With the advance of photolithography technology into the super-resolution regime, reticle features are becoming denser and their dimensions are shrinking. This leads to much stricter design rules, which include a decrease in the dimensions of the critical defects needed to be detected. Orbot-Applied's new Improved Image Acquisition module has been developed as a means of meeting the rising demand in defect detection capability and integrated into RT-8000ES Die-to-Database reticle inspection system. The main purpose of this evaluation was to test the system's performance under difficult production conditions in its highest defect detection sensitivities.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new reticle inspection system with laser UV imaging has been developed to detect defects on advanced reticles for DUV steppers. The extension to UV wavelength improves the resolution of the imaging optics while maintaining compatibility with current inspection algorithms, thus improving sensitivity and minimum linewidth capability. A system level description of the changes made to the optics, mechanics, and software are presented. Using both programmed defect test masks and real production reticles, initial observations of the nature and frequency of defects detected with this 150 nm sensitivity instrument will be presented. Preliminary characteristics of this system include the number and types of defects captured at multiple pixel sizes. Comparisons with non-UV systems illustrate the advantage of utilizing shorter wavelengths for reticle inspection. The quality of defect review images has a direct impact on the effectiveness and ease-of-use of reticle inspection systems. The high quality, UV images available from the new system can be viewed in both normal and sharpened defect review displays. The defect review images show the result of high NA, UV laser imaging and image sharpening applied at defect review.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A 6 inch by 6 inch by 0.250 inch test reticle was manufactured with contact arrays on the image side and arrays of opaque chrome defects on the backside. A focus exposure matrix was printed using a 5X i-line and a 4X DUV ASM stepper to determine the smallest backside defect that would print. A defect was considered printable if it left any visible mark on the resist. At i-line the isolated minimum printable backside defect (MPBD) was 60 micrometers and the clustered MPBD was a 10 micrometers defect in a 10 by 10 array with 40 micrometers centers. At DUV the isolated minimum printable backside defect was 30 micrometers and the clustered MPBD was a 5 micrometers defect in a 10 by 10 array with 20 micrometers centers. Printability was found to be inversely proportional to NA and sigma. For both clusters and isolated defects, printability appear to be a function of the total chrome area in the region. The smallest defects printed at the highest exposure.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A false detect in light scattering particle detection system is mainly caused by scattering light from densely designed pattern. HORIBA has developed a new signal processing method for particle detection systems in order to reduce false detect. This method reduce the scattering light signals form densely designed pattern in particular. This paper describes the method and the test result.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Over the past several years, advanced photolithography has moved from 0.35 micrometers technology to 0.25 micrometers as the standard. Soon the technology will move into the 0.18 micrometers generation. Due to the ever-shrinking feature sizes on advanced photolithographic masks, phase shifting technology has been incorporated to improve resolution on the exposed wafer. On such masks the minimum phase error and the maximum percent transmission must be dealt with. These requirements have challenged the ability to repair masks with opaque and clear defects. The Micrion focused ion beam system currently repairs opaque defects found on advanced phase shifting chrome and molybdenum silicide masks. In this paper, Micrion discusses advanced repair techniques and strategies used to address the stringent requirements of matching phase and percent transmission at the repaired defect sites. Difficulties in opaque defect and clear defect repair strategies will be discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The lithographic performance of high- and standard- transmittance attenuated phase shift masks (PSMs) was investigated in order to determine the suitability of applying attenuated PSMs to the fabrication of 0.15-micrometers hole patterns. Both PSMs had rim structures to eliminate side lobes, and they have two layers on the quartz substrate: a chromium-fluoride attenuated phase shifter layer and an opaque chromium layer. Both PSMs had similar lithographic performances that were high enough for 0.15 micrometers hole patterns.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper reports optimization of Zirconium-Silicon-Oxide (ZrSiO) films for attenuated phase shift mask (Att.PSM) concerning Zr/Si compound ratio. ZrSiO films were deposited by RF magnetron co-sputtering in Ar mixed with O2 gas using separated dual cathode of Zr and Si. Researched were the relationships between chemical durabilities, optical property, and Zr/Si compound ratio determined with XPS analysis. As a result, it was confirmed that controllability of optical property, chemical durabilities, and spectroscopic property would be improved by optimizing Zr/Si compound ratio. Consequently, by composing appropriate optical constants and thickness of bi-layer ZrSiO films, various transmitting Att.PSM can be obtained.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Special Session "Lithography in the 21st Century: Impact on Mask Technology"
It has been proved that the ArF lithography have a potential for 0.13 micrometers -rule production. Further application of OPC, PSM, and TSI have the possibility to enhance the ArF technology to 0.1 micrometers rule. Further research for ArF scanner, resist, process integration, resolution enhancement technologies, and photomask technology is necessary to bring ArF lithography in production use. In Selete, research program of ArF lithography has been started. The overview of Selete program is presented. This program is based on the collaboration with vendors of equipment, substrate, resist, mask, et al.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The past several years have seen many improvements in a wide range of lithographic components of x-ray lithography using synchrotron radiation, including compact SR sources, vertical steppers, x-ray mask, and resist materials, to overcome the low-throughput problem, to improve overlay accuracy, and to make SR lithography a viable and practical method. SR lithography has been used in the test fabrication of LSIs in 0.2- and 0.1- micrometers regions, and has demonstrated an ability to manufacture future LSIs. The infrastructure for widespread industrial use is now beginning to form.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
SCALPEL masks have been fabricated for use in the Proof-of- Lithography system and to demonstrate the feasibility of having them produced by a commercial blank manufacturer and optical mask shops. Masks blanks are formed from 100 mm diameter silicon wafers. A 100-150 nm thick SiNx layer is LPCVD deposited onto the wafers followed by magnetron sputter deposition of a thin Cr/W metal layer which is used as the scatterer layer for the mask>the mask is supported by an underlying network of struts which are arranged to be compatible with the step and scan writing strategy of the exposure tool and to provide robustness to the mask. Crystallographic wet etching of the silicon wafer forms membranes and struts. To date over 300 mask blanks have been formed and yield data as a function of the thickness of the silicon nitride membrane has been quantified. Recent developments in the mask blank formation process include the production of blanks by MCNC who serve as a commercial source of SCALPEL mask blanks. They have successfully delivered 36 blanks that exhibit equivalent properties to those produced at Lucent. Mask patterning has been performed at the commercial optical mask shops of PHOTRONICS and DUPONT. In this investigation a MEBES exposure system has been used to write patterns. The resist used is ZEP-520 and development and pattern transfer processes are performed in the STEAG-Hammatech spray/spin processing tool. Metrology is performed using a KMS 310 RT optical microscope. Pattern placement accuracy is measured on the LMS 2020 system without modification. The masks are inspected for defects using the optical based KLA 300 series inspection system in a die to die mode and in transmission. Results to date suggest feasibility of producing SCALPEL masks by a commercial blank supplier and by merchant optical mask shops.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
EUVL employs a reflective mask consisting of a metallized pattern less than 100 nm thick on a state-of-the-art multilayer mirror deposited on a substrate. The key technologies needed for mask fabrication are coating equipment to make defect-free multilayer films and a low- damage mask fabrication process. Current repair and inspection technologies are advanced enough to handle a pattern size of 0.3 micrometers .
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In spite of the comparatively modest level of effort devoted to ion projection lithography, the results obtained so far indicate that the technology is highly promising. Accordingly, a $36M program has been launched in Europe to develop a full field, IPL process tool.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Profound changes are occurring in the US mask industry. Two factors contribute to these changes. First, due to the prohibitive cost of developing new mask technology, captive mask shops have been largely displaced by merchant suppliers. Second, the mask technology ramp has exceeded the SIA roadmap. As a result, merchant suppliers must build advanced masks and ship them cost-effectively and on time from multiple manufacturing sites to many customers. This paper discusses the US mask industry and its technology position in the worldwide mask infrastructure. Examples of recent US technology thrusts are presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The PTB as the national metrology institute of Germany offers traceable pattern placement calibrations on 2D objects, primarily photomasks. The calibrations are performed by means of an optical mask comparator, the LMS 2020 from Leica company, with measurement uncertainties of 35 nm for the positions of patterns on, for example, high quality 152 mm masks. By far the largest uncertainty contribution for pattern placement measurement is due to the traceability to the SI unit of length. For interferometry in air, traceable length measurement puts high demands on the determination of the refractive index of air. This was, amongst other reasons, one point for the PTB to start the development of a new measurement system, the Electron Optical Metrology System (EOMS), which utilizes laser interferometry in a vacuum. The basic components of the EOMS will be described and a discussion about the different contributions entering the uncertainty budget is given on the basis of recent investigations. Latest pattern placement measurements on 1 micrometers structures on a 6 inch photomask showed reproducibilities from 5 to 7 nm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The presence of contaminants and particles on the surface has been long recognized as a cause of reduced wafer yields and delayed mask TAT. Especially, as the device pitch is continuously decreasing below submicron, the size of the contaminants and particles which must be controlled is decreasing below quarter-micron. It is believed that the megasonic process with the SC-1 chemical is the most important wet cleaning process in particle removal technology. The megasonic cleaning, which is dependent on the transmittance of megasonic power, is a commonly used technique for removing particles on the surface of a photomask. In this paper, in terms of both simulation and experiment, the transmittance of sound was obtained by varying the liquid temperature, the inclined angle, and the thickness of the bottom plate in the inner bath. Finally, after comparison of experimental results with simulation ones, we obtained the transmittance characteristics with similar tendency.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new photomask cleaning process using electrolyzed water was suggested in this work. This process using the cathode water with a small amount of ammonium hydroxide showed good efficiencies of removing particles from photomask surfaces. MoSiON surface was not so damaged in the alkaline cathode water compared with in APM. The rinsing process in the anode water eliminated the sulfuric acid residue on the surfaces of quartz substrates. Using electrolyzed water reduced the consumptions of the chemicals, the water and the energy.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have conducted a series of test under practical conditions with low fluence of ArF excimer laser to check membrane longevity of a fluoropolymer, a candidate for membrane material for the ArF excimer laser. According to the test results, the causes of the membrane longevity deterioration with ArF excimer laser exposure are: (1) Due to deviation from anti-reflection conditions caused by physical reduction of the membrane thickness. (2) Due to creation of substances causing light absorption. Also, we have found out that the environment inside a stepper/scanner can influence the test results to a large extent. Under air environment conditions, only phenomenon (1) above is noticed, and comparatively longer membrane longevity can be achieved; however, under nitrogen environment conditions, both phenomena (1) and (2) take place, and lesser membrane longevity is achieved compared to under air environment conditions. Under nitrogen environment conditions, we notice that phenomenon (2) can be avoided by adding a small amount of oxygen into a stepper/scanner. In this case, the membrane longevity can be improved to the same level as under air environment conditions. We analyzed by XPS, the surface status of the fluoropolymer membrane that was exposed with ArF excimer laser under nitrogen environment conditions. According to our findings, we presume that the substance causing light absorption is created by a chemical reaction between carbon structure causing light absorption is created by a chemical reaction between carbon structure without fluorine and nitrogen or water or both in the environment.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Foundry maskshop is deemed to be one of the major contributors to the success of a wafer foundry fab. Our maskshop has two unique features. First, we have highly automated information cross-flow between the wafer fab and maskshop. Second, we develop mask technology together with photolithography technology in wafer fabs.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
For obvious cost reasons, semiconductor manufacturers are constantly striving to produce ever smaller wafer geometries with the current installed base of wafer steppers. Many techniques have been used successfully to 'squeeze' more resolution from these steppers than was once thought possible. Wafers processed using non-aggressive k1 factors provided a linear correlation between mask and wafer feature sizes. However, it has been shown that pushing k1 factors to very low levels causes a nonlinear response between changes in photomask and wafer critical dimension. This non-linearity demands extremely tight photomask CD control specifications. Total CD errors 50nm and smaller can cause unacceptable wafer CD variation. In this paper, defect sensitivity and false detection performance of a new advanced line measurement algorithm was tested. The test vehicles included both an industry standard and a custom designed programmed defect test mask. In addition, production masks with naturally occurring localized CD errors that caused wafer pattern bridging were analyzed. This new experimental algorithm has shown localized CD error detection of <EQ 100 nm reticle defects.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A test mask has been developed and used to characterize automatic defect inspection systems. Characterization was performed on three generations of inspections algorithm and revealed an increase in the detection rate of defects on serifs and jogs with each succeeding algorithm and equipment generation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper we present results of an algorithm that has been developed which is sensitive to phase defects of 60 degrees on i-line alternating PSMs. This algorithm consists of microcode and software, which can be loaded into existing inspection hardware. The algorithm works in die-to-die inspection mode and uses both transmitted and reflected light images to maximize sensitivity. Isolated phase defects as well as phase defects close to chrome edges were inspected. In addition, the algorithm is able to detect missing and mis-aligned shifter edges. A programmed phase defect test plate was developed to characterize defect detection sensitivity. Detection of 60 degrees defects smaller than 0.75 micrometers has been demonstrated with this algorithm. Defect sensitivity characterization and actual production plate effect results are shown. Finally, recent results showing the application of the algorithm to the inspection of Deep-UV multiphase reticles using a shorter inspection wavelength are presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Improving resolution and focus latitude is needed in the manufacturing 0.18 micrometers LSI devices using the KrF lithography. In order to achieve this requirement, the attenuated phase shift mask (Att.PSM) has been proposed. 0.18 micrometers gate patterns can be attained using the Att.PSM with annular illumination. However it is expected to cause a differences in the printability of mask fabrication error by using a conventional illumination when annular illumination is used. Therefore, before applying our Att.PSM to practical use, we need to investigate the printability of Att.PSM fabrication errors when using annular illumination for 0.18 micrometers line patterns. Now, we clarify the printability of Att.PSM fabrication errors via simulation and experiments. Then we estimated the requirements of Att.PSM for 0.18 micrometers gate patterns. To apply the Att.PSM with annular illumination, we showed the feasibility of the Att.PSM fabrication. The other hand, we understood that it is necessary to improve technology of inspection and repair.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
One method for making the alternating phase-shift mask involves cutting a trench into the quartz of the mask using an anisotropic dry etch, followed by an isotropic etch to move the corners of the trench underneath the chrome to minimize problems caused by diffraction at the bottom corners of the phase-trench. This manufacturing method makes the addition of subresolution scattering bars and serifs problematic, because the amount of the undercut causes chrome lifting of these small features. Adding an additional anisotropically etched trench to both cut and uncut regions is helpful, but the etch does not move the trench corners under the chrome and result in a loss to intensity and image contrast. At 248 nm illumination and 4X magnification, our work shows that a combination of 240 nm dual-trench and 5 nm to 10 nm undercut produces images with equal intensity between shifted and unshifted regions without loss of image contrasts. This paper demonstrates optical proximity correction for doing 100 nm, 120 nm, 140 nm and 180 nm lines of varying pitch for a simple alternating phase-shift mask, with no dual-trench or undercut. Then the electromagnetic field simulator, TEMPEST, is used to find the best combination of dual-trench depth and amount of undercut for an alternating phase-shift mask. Phase measurement using 248 nm light and depth measurement of thirty-six unique combinations of dual-trench and phase-shift trench are shown. Based on modeling and experimental results, recommendations for making a fine tuned dual-trench 248 nm mask, as well as an extension of the dual-trench alternating phase-shift technique to 193 nm lithography, are made.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.