PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
This paper reviews both proximity X-ray and EUV lithography activities related to ASET. The PXL project was started in 1996, and an 8' stepper (XS-1) and 100 keV mask EB writer was built, aiming to study the applicability of PXL to 100 nm device generation. The CD control and overlay study by XS-1 gave promising results, showing that a key issue is high accuracy mask fabrication. The EB writer has been attaining high image placement accuracy of 10 - 20 nm, however, process- induced distortion of the same level has also been observed. The work is under way to further reduce these numbers. Application of PXL to several integration studies is also under way by collaborating with the ASET PXL member companies. The EUV project was started in October 1998, studying optics technology, mask process and wafer resist process. The main subjects are: mirror fabrication and figure evaluation by visible PDI; optics at-wavelength testing; mask substrate multi-layer coating; absorber patterning; and resist exposure characteristics. We collaborate with Himeji Institute of Technology to study the resist process and at-wavelength testing.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Next Generation Lithography (NGL) technologies require masks that are significantly different from each other and from conventional photomasks but possess many similarities and processing challenges. The Next Generation Lithography Mask Center of Competency (NGL-MCOC) was formed to identify these process issues and potential areas for commonality and eventually provide a commercialization path for NGL masks. The NGL-MCOC consists of a partnership between Photronics and IBM. The IBM advanced mask facility is applying the technology developed for x-ray masks to other NGL technologies, and Photronics is supplying the organization and eventual commercialization of the technology. To broadly address NGL mask issues, the NGL-MCOC has collaborated with the NGL industry champions. Mask blanks have been written for SCALPEL./eLith, EUV-LLC, and Infineon/IPL. By utilizing common patterns and as much common processing as possible, rapid process development and efficient comparison of the mask issues with the different NGL technologies is quickly achieved. In addition, the NGL-MCOC has fabricated mask blanks for SCALPEL and stencil to evaluate new materials and process options.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Ion Projection Lithography (IPL) follows the same principle as optical wafer steppers when using hydrogen or helium ions for the reduction printing of stencil mask patterns to wafer substrates: (1) DUV resists can be used with ion beam exposure; (2) well established optical wafer alignment techniques are used; (3) the mask is stable during exposure. IPL is the only NGL technique where the mask is not scanned during exposure. Because of the very small particle wavelength (5 * 10-5 nm for 100 keV He+ ions there is the possibility of using electrostatic ion-optics with very small numerical aperture (NA approximately equals 10-5). The ion-optics is based on aluminum lens electrode and standard insulator materials. Mechanical tolerances on lens electrode manufacturing and adjustment are in the micrometer range because of in-situ electronic column fine adjustment possibilities. Wafer stage movements with micrometer precision is sufficient through feedback from precise laser interferometer stage position measurements to electronic image placement of the ion image projected to the wafer with on-line 'pattern lock' control. As part of the MEDEA project an IPL process development tool (PDT) is being integrated by IMS with the target to achieve 50 nm resolution within a 12.5 mm exposure field. The IPL production stepper will be based on a similar but more compact ion-optical column, exposing large (e.g. 25 mm) chip fields by stitching of 12.5 mm fields. A 300 mm wafer throughput of 30 WPH is feasible also for the 50 nm node. IPL has the potential of achieving the lowest NGL cost of ownership with the longest multi-generational life time. The practical resolution limit of the IPL technique is below 35 nm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The Extreme UV Concept Lithography Development System (EUCLIDES) program headed by ASM Lithography (ASML), partnered with Carl Zeiss and Oxford Instruments is evaluating EUV lithography for its viability at resolutions of 70 nm and below. From August 1998 through February 2000 the first phase was done. In this phase, the core technologies necessary to demonstrate the technical solutions for the list of possible EUV lithography 'showstoppers' have been developed. This includes: (1) Mirror substrates, (2) High reflectivity multi- layer coatings, (3) Resist outgassing reduction schemes, (4) Vacuum stages. A synchrotron source design was developed to compare synchrotron sources with plasma sources. The consortium also investigated the total system architecture to make sure the system concept meets the requirements of the semiconductor industry at an acceptable cost of ownership. In this paper, an overview of the program objectives is given, followed by an overview of highlights obtained by the various program partners and subcontractors throughout the first phase. Finally, the European partner's plan for the next phase is shown (working in close collaboration with other international consortia). This next phase will eventually lead to EUVL production tools.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The Engineering Test Stand (ETS) is an EUV laboratory lithography tool. The purpose of the ETS is to demonstrate EUV full-field imaging and provide data required to support production-tool development. The ETS is configured to separate the imaging system and stages from the illumination system. Environmental conditions can be controlled independently in the two modules to maximize EUV throughput and environmental control. A source of 13.4 nm radiation is provided by a laser plasma source in which a YAG laser beam is focused onto a xenon-cluster target. A condenser system, comprised of multilayer-coated mirrors and grazing-incidence mirrors, collects the EUV radiation and directs it onto a reflecting reticle. A four-mirror, ring-field optical system, having a numerical aperture of 0.1, projects a 4x-reduction image onto the wafer plane. This design corresponds to a resolution of 70 nm at a k1 of 0.52. The ETS is designed to produce full- field images in step-and-scan mode using vacuum-compatible, one-dimension-long-travel magnetically levitated stages for both reticle and wafer. Reticle protection is incorporated into the ETS design. This paper provides a system overview of the ETS design and specifications.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A three-aspherical-mirror system for Extreme Ultraviolet Lithography has been developed. The aspherical mirrors were fabricated using the computer controlled optical surfacing (CCOS) process and a phase shift interferometer. The mirrors have a figure error of 0.58 nm and surface roughness of 0.3 nm. In order to obtain a high efficiency mirror, M1 and M2 were coated with a graded d-spacing Mo/Si multilayer and mirror M3 was coated with a uniform d-spacing Mo/Si multilayer. The peak reflectivity is 65% at the wavelength of 13.5 nm. The wavelength matching of each mirror spans 0.45 nm. The mirrors were aligned with a Fizeau-type phase shift interferometer, and a final wavefront error of less than 3 nm was achieved. Exposure experiments carried out at new Subaru synchrotron facility revealed that this system is capable of replicating a 56 nm pattern in a 10 mm X 1 mm exposure field.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In an attempt to narrow the choice for an absorber used in EUV masks, different materials are being evaluated. These materials need to meet the absorber requirements of EUV absorbance, emissivity, inspection, and repair, to name a few. We have fabricated masks using Cr absorbers. The absorber stack consists of a repair buffer of SiON and a conductive etch stop of Cr sandwiched between the SiON repair buffer film and the Mo/Si multilayer mirror deposited on a Si wafer. However, to increase the process latitude, the Cr etch stop needs to be removed from the stack, in particular for mask repair. The absorber layer was patterned using commercial DUV resist and the pattern was transferred using reactive ion etching (RIE) with halogen-based gases. Completed masks exhibited negligible shift in the centroid wavelength of reflectivity and less than 2% loss in peak reflectivity due to mask patterning. Completed masks were exposed at Sandia National Laboratories' 10X EUV exposure system and equal lines and spaces down to 80 nm were successfully printed. The masks were also imaged in a microscope with 248 nm wavelength, and the focused ion beam repair selectivity to the buffer layer (SiON) was established. The paper summarizes the mask fabrication process, EUV printability, mask repair, inspection and emissivity for EUVL masks with Cr absorber.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Synchrotron-based reflectometry is an important technique for the precise determination of optical properties of reflective multilayer coatings for Extreme Ultraviolet Lithography (EUVL). Multilayer coatings enable normal incidence reflectances of more than 65% in the wavelength range between 11 and 15 nm. In order to achieve high resolution and throughput of EUVL systems, stringent requirements not only apply to their mechanical and optical layout, but also apply to the optical properties of the multilayer coatings. Therefore, multilayer deposition on near-normal incidence optical surfaces of projection optics, condenser optics and reflective masks requires suitable high-precision metrology. Most important, due to their small bandpass on the order of only 0.5 nm, all reflective multilayer coatings in EUVL systems must be wavelength-matched to within +/- 0.05 nm. In some cases, a gradient of the coating thickness is necessary for wavelength matching at variable average angle of incidence in different locations on the optical surfaces. Furthermore, in order to preserve the geometrical figure of the optical substrates, reflective multilayer coatings need to be uniform to within 0.01 nm in their center wavelength. This requirement can only be fulfilled with suitable metrology, which provides a precision of a fraction of this value. In addition, for the detailed understanding and the further development of reflective multilayer coatings a precision in the determination of peak reflectances is desirable on the order of 0.1%. Substrates up to 200 mm in diameter and 15 kg in mass need to be accommodated. Above requirements are fulfilled at beamline 6.3.2 of the Advanced Light Source (ALS) in Berkeley. This beamline proved to be precise within 0.2% (rms) for reflectance and 0.002 nm (rms) for wavelength.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Proximity X-ray Lithography (PXL) has been demonstrated to be powerful tool for fabricating patterns at the 130-nm technology node and to be extendable to the 70-nm node and below. On the other hand, the capabilities of optical lithography have now reached the 150-nm node, and it is widely discussed that it will be applicable to the 100- and 70-nm nodes. In this study, we have investigated the printing characteristics of PXL and compare them to those of ArF and F2 optical lithography for several model patterns of DRAMs, SRAMs, and logic devices at the 100- and 70-nm nodes. We used aerial image simulations and carried out PXL exposure experiments to confirm the simulation results. Both the aerial images and the exposure results show that PXL has sufficient resolution for patterns with a 100-nm design rule and offers a wide latitude in the proximity gap. For ArF and F2 lithography with a Levenson-type mask, the same patterns were resolved with a lower fidelity and the depth of focus (DOF) was less than 0.2 micrometer. No resolution enhancement techniques (RET), such as optical proximity correction (OPC) to masks, are required in PXL; but they are indispensable in optical lithography. At the 70-nm node, PXL provides acceptable resolution, even at a gap of 10 micrometer; and the simulations show that the resolution can be increased by setting the gap in the range of 5 - 10 micrometer.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The keys to precision x-ray mask fabrication are the EB mask writer and the process of writing on a thin membrane. This paper concerns the delineation performance for 100 kV EB writing on x-ray membrane mask. We installed and evaluated an advanced EB mask writer, the EB-X3, which features an accelerating voltage of 100 kV and a 5-axes laser interferometer stage employing a laser measurement system with a resolution of 0.6 nm for high resolution and accuracy. The stable 100 kV EB has a good resolution around 50 nm and a beam address of 1 nm, which provide a repeatability of mark detection within 4 nm. As a result, an absolute image placement accuracy within 15 nm was obtained for 1G-bit level ULSI patterns. In addition, the combination of 100 kV EB and membrane process was found to reduce proximity effects. By several improvements including higher-order height correction and membrane process refinement, the final target of an absolute image placement error within 10 nm and a CD accuracy within 8 nm should be achieved in FY2000.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have investigated the ZEP resist characteristics of stress relaxation and reduction by applying some additives to the ZEP resist. The stress of the resist film was significantly reduced in ZEP resist with (beta) -Carotene. Without an additive, the stress of the ZEP resist film reduced from 30 MPa to 25 MPa as a result of the delay time after resist coating. On the other hand, with the addition of 5 wt% (beta) - Carotene, the stress of the resist film just after coated was almost same to that of the resist film without an additive. The stress, however, decreased to 15 MPa for one month. The stress change caused by deep UV exposure in the (beta) - Carotene additive system became one-third of that in the non- additive system. This system had a similar pattern replication quality to the original ZEP resist. It is considered that this system is useful for improving the image placement accuracy in EB writing on the membrane such as an x-ray mask.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We report on the development of an electric capillary discharge source that radiates with comparable efficiency at both 13.5 nm and 11.4 nm, two wavelengths of interest for EUV lithography. The discharge source is comprised of a low- pressure, xenon-filled, small diameter capillary tube with electrodes attached to both ends. A high-voltage electric pulse applied across the capillary tube generates an intense plasma that radiates in the EUV. This source is capable of producing 7 mJ/steradian per pulse in a 0.3 nm bandwidth centered at 13.4 nm. In this paper we will address three significant issues related to the successful development of this source: minimization of debris generation, thermal management, and imaging quality.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The light source is a critical factor when Extreme Ultra Violet Lithography (EUVL) is used. This paper shows the link between the light source and the customer's requirements. These are: Throughput, Cost of Ownership (CoO) and imaging quality (e.g. CD uniformity). Also shown is how customer requirements dictate the necessary performance specifications for light sources. To be competitive with other New Generation Lithography technologies, EUVL tools should show a potential for high throughput. This puts a high requirement on the collectable in-band power produced by an EUV source. CD control requirements, together with restrictions of reflective optics, are discussed. This means that the pulse-to-pulse repeatability, the spatial stability control and the repetition rates, must be substantially better than those of current optical systems. It is essential, in the early stages of the development of potential light sources, to understand the source limitations. It is also essential to see the way the light source or the total source/lithographic system could be improved, in the development time, to meet the lithography tool requirements. Although there are no light sources currently under development that comply with the requirements for an EUVL production tool, future improvement in the performance of light sources seems possible. This paper shows detailed analyses of the way to meet (beta) and production tool requirements, including the increase in repetition rate, for all of the sources. The decrease in energy per pulse will also be discussed. The performance requirements for the light source for the first lithographic tool, together with the timeline for its choice, will be shown.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We describe a laser-plasma source based on a cryogenic xenon liquid-jet target suitable for extreme ultraviolet (EUV) projection lithography. Recent improvements in the stability of the xenon jet allows efficient laser-plasma operation several millimeters away from the nozzle orifice. We present the first preliminary laser-to-EUV conversion efficiencies, although under non-optimized conditions, for the source.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A novel laser plasma EUV source geometry based on a (pulsed) double gas jet system has been characterized for utilization in EUV Lithography. The use of a secondary annular jet of a buffer gas in conjunction with the primary jet of target gas provides a considerable gain in EUV yield of an order of magnitude. The best CE data at 12.8 nm were obtained using xenon as target gas and hydrogen as buffer gas. The plasma source was driven using a short-wavelength KrF laser (0.9 J, 27 ns). Conversion efficiencies (CE) and EUV pulse shapes have been measured using calibrated Mo/Si multilayer mirrors and filtered junction diodes. A pinhole camera, equipped with a back illuminated CCD camera, was used to determine the plasma size in a wavelength range from 6 - 16 nm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Extreme ultraviolet (EUV) lithography tools will need a debris free source with a collectable radiation power of about 40 W to fulfill the prerequisites for an economical wafer throughput up to 80 wafer/hour with a wafer size of 300 mm in diameter. Laser produced plasmas and gas discharge based plasmas are under investigation by several working groups as EUV-sources for this purpose. In this paper the achieved results for the different sources are discussed regarding their emission characteristics in comparison to the demands of EUV lithography (EUVL).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper describes the design of the projection optics for the SCALPEL-HT/Alpha electron beam lithography tool. We first briefly review the main design requirements. We then describe the two main simulation software packages that have been used in the electron optical design -- (1) 'PROJECTION,' for optimizing the aberrations to fifth-order, including the electron lenses, deflectors, stigmators and dynamic focus coils, and (2) 'BOERSCHA,' for computing the combined effects of the aberrations and discrete and global Coulomb interactions. Recently developed key features include accurate simulation of blurring caused by plasmon losses in the SCALPEL mask, and quantitative assessment of the aberrations and distortions, by plotting through-focal series of point spread functions. A symmetric magnetic doublet lens is used, with a polepiece geometry that provides both low aberrations and telocentric (normal incidence) imaging at mask and wafer. Magnetic field clamps are used to improve the symmetry in the magnetic field of the doublet lens near the SCALPEl aperture. An image adjustment device ('Waskotron') is used to permit small adjustments of the magnification and image rotation. Deflectors, stigmators and dynamic focus coils are used to dynamically minimize the aberrations and landing angles along the stripe scan. The deflector and stigmator coils are wound on stacks of ferrite rings inside each lens, to enhance their sensitivities. The coil winding distributions are described, and we discuss how many deflectors, stigmators and focus coils are needed, and their inductances and drive currents are computed. An electrostatic deflector provides for high- bandwidth correction of small electron optical and mechanical position errors between the mask and wafer stages. The overall performance of the projection optics is predicted. For 100 keV beam energy and 15 (mu) A beam current, with a 400 mm mask-to- wafer distance, and a 0.25 mm square stub-field scanned over a 3 mm stripe at the wafer, using a silicon nitride membrane mask, the predicted 40 - 60% rise distance is d4060 equals 23 nm, the predicted 30% - 70% rise distance is d3070 equals 47 nm and the predicted 20% - 80% rise distance is d2080 equals 78 nm. These computed values are obtained at the optimum aperture angle of 6 mrad at the plane of best focus, which lies 11 micrometer beyond the Gaussian image plane.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The Advanced Silicon Etch (ASER) process has been used for silicon substrate etching for the manufacture of SCALPELR (SCattering using Angular Limitation Projection E-beam Lithography) masks. The current SCALPELR mask fabrication process uses an aqueous solution of KOH to etch the membrane support struts in 100 mm diameter, <100> crystalline silicon wafers. This technique is undesirable for the manufacture of large diameter masks with thicker substrates, as it limits the maximum printable die size. Inductively coupled plasma (ICP) etching, using the ASER process, provides the only alternative etch technique. This gives support struts with vertical profiles, yielding a higher printable area than with wet etching, and is ideal for etching the substrates of large diameter masks. In addition to this, and to the benefits of dry over wet etching, the ASER process allows the use of wafers of any crystal orientation and gives greater flexibility in pattern placement and geometry. This paper presents process optimization data based on 200 mm diameter wafers, using a system designed specifically for this application. The key aspects of this work have focused on etch rate, CD control and uniformity enhancement. Etch rate determines the economic feasibility of this approach, particularly with etch depths of approximately 750 micrometer. Uniform etching is required to minimize the time to clear the membranes, and the CD tolerances must be met so that structural integrity is maintained. The large exposed silicon areas, (> 40% global and > 80% local), the macro loading effects caused by the edge of the pattern, and the need for near vertical strut profile, make these requirements more difficult to achieve. Etch rate and uniformity achieved, exceed the minimum specification of > 2 micrometer/min and < +/- 6% respectively.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Optimization of phenolic chemically amplified resist platforms has lead to the development of new resists, capable of high throughput SCALPEL exposure. A positive resist, XP9947A, has exhibited 100 nm and 80 nm dense line resolution with good sensitivity and dose latitude. The influence of DUV absorption and 100 KV e-beam absorption to the optimization process is discussed. The nature of 100 KV e-beam absorption enables a greater freedom of resist design than encountered for DUV resists.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
PREVAIL - Projection Reduction Exposure with Variable Axis Immersion Lenses represents the high throughput e-beam projection approach to NGL which IBM is pursuing in cooperation with Nikon Corporation as alliance partner. This paper discusses the challenges and accomplishments of the PREVAIL project. The supreme challenge facing all e-beam lithography approaches has been and still is throughput. Since the throughput of e-beam projection systems is severely limited by the available optical field size, the key to success is the ability to overcome this limitation. The PREVAIL technique overcomes field-limiting off-axis aberrations through the use of variable axis lenses, which electronically shift the optical axis simultaneously with the deflected beam so that the beam effectively remains on axis. The resist images obtained with the Proof-of-Concept (POC) system demonstrate that PREVAIL effectively eliminates off- axis aberrations affecting both resolution and placement accuracy of pixels. As part of the POC system a high emittance gun has been developed to provide uniform illumination of the patterned subfield and to fill the large numerical aperture projection optics designed to significantly reduce beam blur caused by Coulomb interaction.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The imaging concept of electron projection lithography (EPL) with silicon stencil reticle is explained. A silicon membrane thickness of 1 - 4 micrometer is suitable for the reticle. A scattering contrast of greater than 99% is expected. Nikon EB stepper's dynamic writing strategy of discrete exposure on a sub-field by sub-field basis with deflection control of the electron beam is explained. The basic system configuration of EB stepper is introduced. Examples of error budget for CD variation and Overlay/Stitching are shown. Nikon's policy for countermeasures for critical issues such as proximity effect correction, sub-field/complementary stitching and wafer heating influence are explained. For extensibility down to 70 nm and below, both exposure tool and reticle should be improved.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Character projection (CP) electron beam (EB) lithography is one of the promising technologies for the fabrication of random logic memory devices with less than 0.13 micrometer design rules. This is because not only the memory but also the logic cell can be allocated in a single CP area. Using CP EB lithography, moderate throughput and accurate CD control can be achieved compared with a variable shaped EB system. However, its resolution is mainly limited by the Coulomb interaction effect of the electron through the EB stencil mask. The Coulomb interaction effect depends on the electron optical column design of EB systems such as beam current, length of interaction, and beam semi-angle. At Selete, we have evaluated in parallel two CP EB direct writing (DW) machines Hitachi and ADVANTEST. This paper describes the resolution limit of these CP EBDW systems. In this study, 1:1 lines and spaces patterns (50% duty: severe Coulomb interaction condition) are evaluated at various current densities. We found that the ultimate resolution is improved by decreasing current density. And also we found that the focus position shifts beyond the wafer plane by increasing current density. Although the larger beam semi-angle can be effective to improve the resolution limit, it is difficult to change the beam semi-angle of existing columns at Selete. So we have simulated this effect by increasing the source diameter, which is equivalent to increasing the beam semi-angle. By comparing the 100 nm lines and spaces simulation results in the diameter of 7.2 micrometer (normal) and 20 micrometer, increasing the beam semi-angle proved to be effective in resolution enhancement if it is accompanied with simultaneous reduction of the lens aberration.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An electron projection lithography (EPL) system which projects reticle patterns onto a wafer will be applied to sub 100 nm lithography. Requirements for line width accuracy are very strict as feature sizes are less than 100 nm. For electron beam lithography, proximity effect corrections have always been an important issue for accurate feature width control. In this paper characteristics of several correction methods are examined, and appropriate correction methods for 100 kV EPL are introduced. Employing the shape correction method burdens the reticle pattern preparation system much more than other methods. Therefore a calculation method suitable for 100 kV EPL where the backscatter radius is very wide ((beta) b approximately equals 30 micrometer) and the forward scatter radius is narrow ((beta) f approximately equals 7 nm) has been developed. The calculation of deposition energy by the backscattered electron beam is carried out with a coarse grid but wide range. The calculation of the combined effect of the electron scattering blurs from the features is carried out only within a narrow range. The correction calculation is carried out using both of these results. Using this method, accurate and fast calculations can be achieved. Employing the GHOST correction method increases total exposure cost. The practical GHOST correction methods may also be improved. An additional correction method named shape correction with GHOST is also shown.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Projection Electron Lithography (PEL) has recently become a leading candidate for the next generation of lithography systems after the successful demonstration of SCAPEL by Lucent Technologies and PREVAIL by IBM. These systems use a scattering membrane mask followed by a lens with limited angular acceptance range to form an image of the mask when illuminated by high energy electrons. This paper presents an initial modeling system for such types of projection electron lithography systems. Monte Carlo modeling of electron scattering within the mask structure creates an effective mask 'diffraction' pattern, to borrow the standard optical terminology. A cutoff of this scattered pattern by the imaging 'lens' provides an electron energy distribution striking the wafer. This distribution is then convolved with a 'point spread function,' the results of a Monte Carlo scattering calculation of a point beam of electrons striking the resist coated substrate and including the effects of beam blur. Resist exposure and development models from standard electron beam lithography simulation are used to simulate the final three-dimensional resist profile.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The electron beam (EB) writing system with high acceleration voltage must be used for the mask fabrication because of its fine resolution. In this case, the resist heating effect becomes one of the serious problems in CD control. This paper discusses the controllability of the resist heating effect and shows that; (1) The CD variation caused by the effect increases with higher pattern coverage and larger shot size, which supports qualitatively results of temperature simulation based on Ralf's model. (2) The multiple exposure is effective to suppress the temperature rise in a substrate and the CD variation. The shifting-type exposure is more effective than the non-shifting-type exposure for suppression of the effect. (4) The CD variation for ZEP7000 can be suppressed to less than 5.0 [nm] (range) provided the shot size is less than or equal to 1.0 [micrometer] and the shifting-type exposure is adopted. Thus, the resist heating effect can be controlled and the CD variation by the effect can be suppressed enough for fabricating the masks to produce 0.15 micrometer devices and beyond.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Mask image size variation is a major contributor to the total image size budget. To understand the source and contribution of various errors, we have characterized the image size variations on next generation lithography masks. CD control experiments initiated on x-ray masks are now being extended to other NGL technologies through the application of similar patterns, measurement strategy, and error budget partitioning. A systematic measurement methodology has been used to partition the variations into known components. Long-range variations have been found to be the dominant error, and in x- ray masks, are typically membrane edge effects and cross-mask bow. The membrane effects have been shown to be primarily driven by temperature differences during the post-expose bake (PEB) of the chemically amplified resist. To further understand the source of these temperature variations, the x- ray and SCALPEL mask PEB have been modeled through a finite- difference model. Key contributors to controlling bake temperature uniformity have been identified.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A positive tone chemically amplified (CA) resist, Shipley UVIIITM, has been investigated for use in the fabrication of scattering electron-beam projection lithography (EPL) masks. Shipley UVIII is a DUV resist that also functions as a high resolution (sub 75 nm) e-beam resist with sensitivities of 12 - 30 (mu) C/cm2 at 75 keV depending upon the bake parameters, and is currently used in the manufacture of advanced x-ray masks with 90 nm feature sizes. This paper discusses the issues associated with the implementation of CARs in EPL mask processing, including the thermal variations and mechanical distortions which can cause nonuniformities during resist processing. The performance of the resist was evaluated based on critical dimension (CD) uniformity across the mask and within individual membranes, image placement (IP) performance within an individual membrane, and image quality. CD uniformity of less than 15 nm 3 (sigma) has been achieved across the mask (approximately 50 X 50 mm area) and less than 10 nm 3 (sigma) has been achieved intramembrane (1.1 X 12.1 mm area), for 400 nm nominal feature sizes in resist. Pattern transfer etch processes for a TaSi scatter layer have also been developed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The decision by the Semiconductor Industry Association (SIA) to accelerate the continuing evolution to smaller linewidths is consistent with the commitment by Etec Systems, Inc. to rapidly develop new technologies for pattern generation systems with improved resolution, critical dimension (CD) uniformity, positional accuracy, and throughput. Current pattern generation designs are inadequate to meet the more advanced requirements for masks, particularly at or below the 100 nm node. Major changes to all pattern generation tools will be essential to meet future market requirements. An electron-beam (e-beam) system that is designed to meet the challenges for 130 - 100 nm device generation with extendibility to the 70-nm range will be discussed. This system has an architecture that includes a graybeam writing strategy, a new state system, and improved thermal management. Detailed changes include a pulse width modulated blanking system, per-pixel deflection, retrograde scanning multipass writing, and a column with a 50 kV accelerating voltage that supports a dose of up to 45 (mu) C/cm2 with minimal amounts of resist heating. This paper examines current issues, our approach to meeting International Technology Roadmap for Semiconductors (ITRS) requirements, and some preliminary results from a new pattern generator.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
At-size beam blur at any given pattern size of an electron beam (EB) direct writer, HL800D, was quantified using the new edge roughness evaluation (ERE) method to optimize the electron-optical system. We characterized the two-dimensional beam-blur dependence on the electron deflection length of the EB direct writer. The results indicate that the beam blur ranged from 45 nm to 56 nm in a deflection field 2520 micrometer square. The new ERE method is based on the experimental finding that line edge roughness of a resist pattern is inversely proportional to the slope of the Gaussian-distributed quasi-beam-profile (QBP) proposed in this paper. The QBP includes effects of the beam blur, electron forward scattering, acid diffusion in chemically amplified resist (CAR), the development process, and aperture mask quality. The application the ERE method to investigating the beam-blur fluctuation demonstrates the validity of the ERE method in characterizing the electron-optical column conditions of EB projections such as SCALPEL and PREVAIL.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Recent developments in electron-beam (e-beam) systems and mask-writing strategies facilitate pattern generation for the 130-nm IC generation. The MEBESR 5500 pattern generation system incorporates a high-dose electron optical system and a high-throughput writing strategy, Multipass Gray-II (MPG-II). We evaluate the effectiveness of these innovations by three criteria: improved resolution, improved critical dimension (CD) control, and increased throughput. The conclusions of this paper are based on results from extensive modeling, test masks, and factory acceptance masks. Mask resist choice and processing have been optimized for the MEBES 5500 system. A consequence of these improvements is greater productivity for 150 nm devices and early development of 130 nm devices. The MEBES 5500 system uses a high-dose gun and electron optical system. The maximum current density that can be delivered to the mask is 800 A/cm2, twice the value of previous MEBES systems. Without loss of throughput, it is possible to increase the dose deposited in the resist, while using smaller e-beam sizes. These capabilities are exploited to improve printing of submicrometer features, including 200 nm-scale optical proximity correction (OPC) patterns. At small data addresses (<17.1 nm), the MPG-II writing strategy provides twice the throughput of the existing multipass gray (MPG) strategy with the same instrument, and 16X the throughput of traditional single-pass printing (SPP) with the MEBES 4500 system. The fundamentals of the MPG-II strategy are described, as well as throughput and lithographic results.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As lithographic technology nodes advance beyond the 193 nm generation, the optical absorption of organic materials will require the use of thin layer imaging (TLI) techniques. Of the techniques under consideration, the use of ultra-thin resist (UTR) over a hardmask is the most desirable because of its simplicity and close similarity to standard single layer resist processes. Prior work has demonstrated that the UTR process is capable of pattern transfer to poly silicon device layers with as little as 1000 Angstrom of resist on flat wafers using 248 nm lithography. This was achieved with defect levels comparable to a conventional 5000 Angstrom resist process. In this work, we demonstrate 'proof of concept' by integrating the UTR process into the transistor gate module of a production device using 248 nm lithography. In doing so we focus on three key areas for manufacturability: inherent defectivity of UTR films, sensitivity of thin resist to topography, and quality of pattern transfer. We find that pinhole defects are of little concern in the UTR process after SEM review of defects on un-patterned UTR films. We show that the UTR process is sensitive to wafer topography, since it does not provide a completely planar surface over the underlying device features. Finally, we demonstrate that the UTR process is capable of reliable pattern transfer on a production device with defect levels comparable to the thicker baseline single layer resist process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Polyaniline is a family of soluble, electrically conducting polymers that have a potential for a number of applications. They are a unique class of electronic materials which combines the electronic properties of metals with the mechanical and physical properties, and the processing advantages of a conventional organic polymer. In this paper, we will discuss the synthesis, and formulation of a highly conductive polyaniline that has applications as electrodes or thin film wiring. However, for these and other uses it is necessary to pattern the conducting polymer lithographically. We will discuss the various ways of patterning polyaniline, either indirectly or using the conventional photoresist technology. The effects of each patterning procedure on the conductivity of the polyaniline will also be covered.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Electron beam (EB) lithography has often been used for fabricating advanced ULSIs. Recently, to increase the throughput, EB projection lithography (EPL) has been proposed. If 100 kV acceleration voltage and 20 to 30 (mu) A beam current are to be adopted in this technology, a high sensitivity resist will have to be developed to achieve a throughput of more than 30 wafers/hour (8'(phi) ). In this paper, we show the photoacid generator (PAG) optimization of a polyhydroxysterene (PHS)-based chemically amplified negative resist for EPL. To evaluate the resist sensitivity and the resolution, we prepared the PHS-based negative resists with PAGs of various quantum yields of acid generation, which were the onium-salt- type PAG, the imide-type PAG, and the alkylbenzene-type PAG. The cross-linker was the melamine-type one. Two simultaneously obtain a high sensitivity of less than 10.0 (mu) C/cm2 and a high resolution of less than 0.10 micrometer, a PHS-based negative resist with the imide-type PAG was most preferable. With this resist, we successfully obtained 0.08-micrometer gate line patterns (128 K sub-array of DRAM), exposed by one 250 X 250 micrometer2 EB shot using a 100-kV EB projection experimental column. In addition, the throughput was estimated to be 30 wafers/hour (8' (phi) ) or more.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Recently, there is significant interest in using CA resists for electron beam (E-Beam) applications including mask making, direct write, and projection printing. CA resists provide superior lithographic performance in comparison to traditional non CA E-beam resists in particular high contrast, resolution, and sensitivity. However, most of the commercially available CA resists have the concern of airborne base contaminants and sensitivity to PAB and/or PEB temperatures. In this presentation, we will discuss a new improved ketal resist system referred to as KRS-XE which exhibits excellent lithography, is robust toward airborne base, compatible with 0.263 N TMAH aqueous developer and exhibits a large PAB/PEB latitude. With the combination of a high performance mask making E-beam exposure tool, high kV (75 kV) shaped beam system EL4+ and the KRS-XE resist, we have printed 75 nm lines/space features with excellent profile control at a dose of 13 (mu) C/cm2 at 75 kV. The shaped beam vector scan system used here provides an unique property in resolving small features in lithography and throughput. Overhead in EL4+ limits the systems ability to fully exploit the sensitivity of the new resist for throughput. The EL5 system, currently in the build phase, has sufficiently low overhead that it is projected to print a 4X, 16G, DRAM mask with OPC in under 3 hours with the CA resist. We will discuss the throughput advantages of the next generation EL5 system over the existing EL4+. In addition we will show the resolution of KRS-XE down to 70 nm using the PREVAIL projection printing system.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Corresponding to characteristics and manufacturing processes of IPL stencil masks, requirements of used resist technologies are determined. Two thin layer imaging (TLI) techniques, the single layer top surface imaging (TSI) and the bilayer CARL (chemical amplification of resist line) have been investigated and compared for stencil mask making. Especially the process design of CARL is discussed in detail. Additionally, a possible process integration of the carbon layer, that is deposited on the stencil mask and protects the membrane against damaging due to ion bombardment, is presented. Finally, results of silicon etching and complete manufactured stencil masks using the developed resist technologies are demonstrated.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Stencil masks for Ion Projection Lithography (IPL) are manufactured in a SOI wafer flow process. They consist of a 3 micrometer thick stencil membrane coated by a 0.5 micrometer thick carbonic protection layer. For mask manufacturing, the key parameters which have to be kept under tight control in order to have a high yield are critical dimensions (CD), image placement and defect density. In order to control critical dimensions, the parameters determining CD have to be known in detail. E-beam writing, resist processing, silicon and carbon etching are main contributors. Their impact will be discussed. For CD measurement, different alternatives of tools, optical CD microscopes, AFM and SEM are discussed. Image placement is one of the most critical parameters for IPL stencil masks, as process-induced distortions occur and are to be corrected by a software using FE calculations. Masks usually are specified to 0 defects. Defect inspection results of IPL stencil masks of optical tools are presented, as well as results from e-beam inspection. In addition, defect management for stencil masks in general and cleaning techniques are discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Ion Projection Lithography (IPL) requires stencil masks. These masks are manufactured in a SOI wafer flow process. This means that e-beam patterning and the pattern transfer in silicon is done on the bulk mask-wafer blank before the membrane is formed. The last steps are deposition of a protective carbonic layer and removal of carbon from the stencil openings by etching. The internal stress control of the finally remaining silicon and carbon layers is decisive for the pattern placement accuracy of the stencil mask. The surface geometry and pattern placement are measured with a LEICA LMS IPRO system at different process steps. The initial bow and warp of the SOI mask-wafer blank is measured. Then, the pattern placement is measured after e-beam writing. After membrane formation the samples are measured a third time followed by a final measurement after carbon layer deposition and etch. These results are to be compared with FE (Fenite Elements) modeling calculations. Compared to previous investigations the effect of wafer warp will be included. Furthermore, LMS IPRO measurements will be done with improved tool accuracy on stencil mask membranes as achieved recently. Thus, the claimed functional dependence between stress and pattern distortion is to be verified experimentally.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Ion Projection Lithography (IPL) is a most promising candidate for next generation IC technology. A critical aspect of IPL is the development of stencil masks with proper stress control. Thus, precise stress measurement of stencil mask membranes is mandatory. The work presented in this paper is based on the well known bulging method. The Silicon lattice contraction by boron doping was investigated experimentally on SOI 150 mm masks with 3 micrometer thick membranes of 126 mm diameter. The measured Si membrane stress vs. boron doping was compared with theoretical models. This comparison shows that a three dimensional model of stress formation is not appropriate and thus the dependence of stress on boron doping concentration better follows linear model.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Extensive optimization on the fabrication of Mo/Si multilayer systems is carried out at the FOM Institute Rijnhuizen using e-beam evaporation. The process is being optimized including parameters such as variation of the mirror's center wavelength, the metal fraction, deposition parameters, and the layer composition. Reflectivities of 69.5% are demonstrated at normal incidence, with values of 67 to 69% being routinely achieved, demonstrating the capabilities of the deposition process. Some evidence of smoothening to interface roughness values lower than the roughness of the initial substrate is given. Furthermore, investigation of the temporal behavior of the coatings does not indicate any loss of reflectivity over an eight-month period. An analysis of the multilayer composition and the interface roughness is given. The reflectivity measurements have been carried out at the PTB facilities at the electron storage rings BESSY I and BESSY II in Berlin. The results of measurements at both facilities are found to be identical and accuracy is discussed in detail.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We show that the theoretical reflectivities of multilayered Mo/Be and Mo/Si extreme ultraviolet (EUV) mirrors tuned for the 11 - 14 nm spectral region can be enhanced significantly by incorporating additional materials within the stack. The reflectivity performance of these quarter-wave multilayers can be enhanced further by global optimization procedures by which the layer thicknesses are varied for optimum performance. By incorporating additional materials of differing complex refractive indices -- e.g. Rh, Ru and Sr -- in various regions of the stack we calculate peak reflectivity enhancements of up to approximately 5% for a single reflector compared to standard unoptimized stacks. For an EUV optical system with nine near-normal-incidence mirrors, the theoretical optical throughput may be increased by up to 100%. We also show that protective capping layers such as Rh and Ru, in addition to protecting the mirrors from environmental attack, may serve to improve the reflectivity characteristics.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Many applications of multilayers in the EUV spectral region require not only high normal incidence reflectivity but also high thermal stability. We instigated the thermal stability of Mo/Si multilayers in comparison with the new material combination Mo2C/Si in the temperature range from 200 degrees Celsius to 700 degrees Celsius. Additionally, we deposited and studied Mo/Si multilayers having Mo2C diffusion barriers with 0.6 nm single layer thickness. The multilayer mirrors were designed for normal incidence reflectivity at about 13 nm wavelength and were deposited by dc magnetron sputtering. X-ray scattering, transmission electron microscopy and atomic force microscopy were used for characterization of the multilayer structures. The results are correlated to the measured normal incidence reflectivity using synchrotron radiation. We achieved maximal normal incidence reflectivities of 61.8% 13.0 nm wavelength for Mo2C/Si and 59.9% 13.3 nm for Mo/Si multilayers having Mo2C diffusion barriers. While the reflectivity of Mo/Si multilayers decreased considerably after annealing above 300 degrees Celsius the Mo2C/Si multilayers showed a superior thermal stability up to 600 degrees Celsius.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As a continuation of comparison experiments between EUV inspection and visible inspection of defects on EUVL mask blanks, we report on the result of an experiment where the EUV defect inspection tool is used to perform at-wavelength defect counting over 1 cm2 of EUVL mask blank. Initial EUV inspection found five defects over the scanned area and the subsequent optical scattering inspection was able to detect all of the five defects. Therefore, if there are any defects that are only detectable by EUV inspection, the density is lower than the order of unity per cm2. An upgrade path to substantially increase the overall throughput of the EUV inspection system is also identified in the manuscript.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Nanoimprint lithography over 2 inch wafers with a patterned area of 40,000 micrometer squared consisting of interdigitated lines of 100 nm width with varying distance between the lines has been performed. By performing metal lift-off and subsequent UV-lithography for definition of contact regions and pads, complete metal arrays have been fabricated. The structure is electrically characterized by admittance spectroscopy. In this paper we describe the design and realization of a compact nanoimprint lithography system. Furthermore, various aspects of nanoimprint lithography are discussed, and nanoimprint lithography is compared with other nanostructuring technologies.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Step and Flash Imprint Lithography (SFIL) is an alternative to photolithography that efficiently generates high aspect-ratio, sub-micron patterns in resist materials. Other imprint lithography techniques based on physical deformation of a polymer to generate surface relief structures have produced features in PMMA as small as 10 nm, but it is very difficult to imprint large depressed features or to imprint a thick films of resist with high aspect-ratio features by these techniques. SFIL overcomes these difficulties by exploiting the selectivity and anisotropy of reactive ion etch (RIE). First, a thick organic 'transfer' layer (0.3 micrometer to 1.1 micrometer) is spin coated to planarize the wafer surface. A low viscosity, liquid organosilicon photopolymer precursor is then applied to the substrate and a quartz template applied at 2 psi. Once the master is in contact with the organosilicon solution, a crosslinking photopolymerization is initiated via backside illumination with broadband UV light. When the layer is cured the template is removed. This process relies on being able to imprint the photopolymer while leaving the minimal residual material in the depressed areas. Any excess material is etched away using a CHF3/He/O2 RIE. The exposed transfer layer is then etched with O2 RIE. The silicon incorporated in the photopolymer allows amplification of the low aspect ratio relief structure in the silylated resist into a high aspect ratio feature in the transfer layer. The aspect ratio is limited only by the mechanical stability of the transfer layer material and the O2 RIE selectivity and anisotropy. This method has produced 60 nm features with 6:1 aspect ratios. This lithography process was also used to fabricate alternating arrays of 100 nm Ti lines on a 200 nm pitch that function as efficient micropolarizers. Several types of optical devices including gratings, polarizers, and sub-wavelength structures can be easily patterned by SFIL.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Stable sub-10-nm lithography was achieved using a 100-kV electron-beam nanolithography system. 8-nm-wide lines were formed using a high resolution spin-coatable Al2O3 resist, and even at the corner of a wide field of several- hundred micrometers square, the lines were about 10-nm wide. The overlay accuracies in mean + 2 (sigma) were about 5 nm at the field center and sub-10 nm at the field boundaries. The high stability of exposure and the high overlay accuracy were obtained not only by the high- performance lens and the high acceleration voltage but by high stability of the stage and the beam. A method of repeating a nanolithography with high overlay accuracy and substrate etching was developed. It allows us to form sub-10-nm-wide standing lines within the field, using a highly sensitive positive-tone resist. These technologies are promising to apply to high performance nanodevices like integrated single- electron devices.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Future lithography systems must produce more dense chips with smaller feature sizes, while maintaining throughput comparable to today's optical lithography systems. This places stringent data-handling requirements on the design of any maskless lithography system. Today's optical lithography systems transfer one layer of data from the mask to the entire wafer in about sixty seconds. To achieve a similar throughput for a direct-write maskless lithography system with a pixel size of 25 nm, data rates of about 10 Tb/s are required. In this paper, we propose an architecture for delivering such a data rate to a parallel array of writers. In arriving at this architecture, we conclude that pixel domain compression schemes ar essential for delivering these high data rates. To achieve the desired compression ratios, we explore a number of binary lossless compression algorithms, and apply them to a variety of layers of typical circuits such as memory and control. The algorithms explored include the Joint Bi-Level Image Processing Group (JBIG), Ziv-Lempel (LZ77) as implemented by ZIP, as well as our own extension of Ziv-Lempel to two-dimensions. For all the layouts we tested, at least one of the above schemes achieves a compression ratio of 20 or larger, demonstrating the feasibility of the proposed system architecture.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The term Next Generation Lithography (NGL) is defined as a Departure from the Classical Concept of Replication Fidelity, thus widening the term Post Optical Lithography. It addresses the necessity to form mask features different from those to be produced in the resist. The term NGL is used to address the x- ray, e-beam projection and direct-write lithographies. It is also applicable to the advanced optical lithography employing the optical proximity correction (OPC) as a part of reticle enhancement techniques (RET) based on auxiliary features, phase shifters, complementary mask and multiple exposures. Following the basic concept of NGL and applying it to proximity x-ray lithography, we are developing a technique to form features on a wafer substantially smaller than those on the mask. This is achieved by exposure and development process and lithographic bias optimization, thus providing local demagnification-by-bias. Analysis shows that features as small as 25 nm can be formed at 10 - 15 micrometer mask/wafer gaps. This approach not only solves difficulties of 1X mask generation, but also offers an advantage of relaxed mask CD control.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Tantalum (Ta) and Ta-alloy films were evaluated for use as the absorber material of masks for extreme ultraviolet lithography (EUVL). It was found that Ta film with a stress below 100 MPa, a surface roughness of less than 1 nm rms, a film density of over 14 g/cm3, and a deposition rate of more than 50 nm/min could be obtained by DC sputtering with Ar gas. Experiments on delineating mask patterns in this film by using dry etching revealed that 250-nm line-and-space patterns could be formed. The alloys evaluated were TaGe and TaN. These films were found to have some better properties than Ta film, for example, less stress, a smaller change in stress, and a smoother surface. This is confirmed to be due to the fact that the alloy films are amorphous. Of particular note is that TaN film has a lower deep ultraviolet (DUV) reflectivity than either Ta or TaGe, thus providing higher contrast between the underlying multilayer and the absorber patterns of an EUVL mask during DUV inspection. However, TaN has a lower density than the other two films. So, our current results indicate that using Ta or TaGe for the bulk absorber material and covering that with a thin layer of TaN is a promising way to obtain the film properties required for EUVL mask patterns, including film density and DUV inspection capability.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
EUVL mask blanks consist of a distributed Bragg reflector made of 6.7 nm-pitch bi-layers of Mo and Si deposited upon a precision Si or glass substrate. The layer deposition process has been optimized for low defects, by application of a vendor-supplied but highly modified ion-beam sputter deposition system. This system is fully automated using SMIF technology to obtain the lowest possible environmental- and handling-added defect levels. Originally designed to coat 150 mm substrates, it was upgraded in July 1999 to 200 mm and has coated runs of over 50 substrates at a time with median added defects > 100 nm below 0.05/cm2. These improvements have resulted from a number of ion-beam sputter deposition system modifications, upgrades, and operational changes, which will be discussed. Success in defect reduction is highly dependent upon defect detection, characterization, and cross- platform positional registration. We have made significant progress in adapting and extending commercial tools to this purpose, and have identified the surface scanner detection limits for different defect classes, and the signatures of false counts and non-printable scattering anomalies on the mask blank. We will present key results and how they have helped reduce added defects. The physics of defect reduction and mitigation is being investigated by a program on multilayer growth over deliberately placed perturbations (defects) of varying size. This program includes modeling of multilayer growth and modeling of defect printability. We developed a technique for depositing uniformly sized gold spheres on EUVL substrates, and have studied the suppression of the perturbations during multilayer growth under varying conditions. This work is key to determining the lower limit of critical defect size for EUV Lithography. We present key aspects of this work. We will summarize progress in all aspects of EUVL mask blank development, and present detailed results on defect reduction and mask blank performance at EUV wavelengths.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Lithography below sub-130 nm requires minimization of pattern distortions due to mask fabrication. It is essential to understand the impact of each step of the entire process flow, since the fabrication (and the resulting bow of the mask as well as the stress of the layers) influences subsequent steps. This paper presents experimental and finite element results from a study of the deposition, etching, and bonding effects on the stress of the mask film stack and, in particular, looks at stress variations due to bonding. Stress measurements were conducted on five X-ray lithographic test masks. The results of this study provide insight into general deposition, etching, and bonding procedures being considered by other NGL technologies.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A negative tone, chemically amplified deep ultraviolet resist, ShipleyR UVN30, has been evaluated for use in NGL mask fabrication and direct write applications. This resist displayed excellent exposure latitude and resolution for both dense and isolated features. At optimum conditions 50 nm isolated lines and 60 nm dense lines resolved in a 300 nm thick film. Exposure dose latitude was 32%. Resist coat shelf life tests produced CD variations of 5 nm after 1 week and 10 nm after 3 months. A 66 hour post exposure bake delay in vacuum produced a 9 nm CD variation. PEB temperature sensitivity was 3 nm per degree Celsius. By selecting appropriate process conditions exposure latitude and resolution were optimized while decreasing linearity and line edge roughness performance. This paper reviews the test conditions for performing the optimization experiments and discuses the potential of using this resist for both advanced mask fabrication and direct write application.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Phase-shifting masks have been applied in optical lithography and various phase-shifting techniques in X-ray Lithography (XRL) have been demonstrated. In this study, we compare different phase-shifting technologies for XRL, such as clear phase mask, attenuated phase-shifting mask and alternating aperture phase-shifting mask through computer simulation. The control of critical dimension is of primary importance as the CD shrinks to the sub-100 nm region. We have reported our design and fabrication of a more robust X-ray Phase Mask, which is capable of sub-70 nm imaging. The processing latitude of this design is investigated in terms of the X-ray source broadening, phase-shifter uniformity, mask-to-wafer gap and sidewall slope of the phase-shifter. The latitude is compared with those results from an attenuated phase shifting mask and an alternating aperture phase shifting mask.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A crosscutting issue for Next Generation Lithographies is the ability to monitor and control the uniformity of thin film stresses. Because the global stress fields of thin film layers can introduce distortions in lithographic masks, it is essential that the characteristics of these stress fields be understood and controlled, in order to achieve the high resolution and positioning accuracy required. This paper provides a comparison between resonant frequency and substrate curvature stress mapping techniques. Experiments have been performed using the UW-CMC Rack RFT device and the commercially available Tencor FLX 5510. Measurements across two IBM diagnostic masks identify the magnitude and uniformity of as-deposited SiON film stress. An analysis of the accuracy and limitations of the experimental methods is discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Thermal deformations of lithographic masks during the exposure process may become an important consideration for all candidate Next Generation Lithography technologies. In,the Electron Projection Lithography exposure process, an electron beam passes through thin membrane sections of the mask scattering the electrons of the pattern area. A small fraction of the e-beam energy is absorbed in the membranes producing a local temperature rise. This temperature rise produces thermomechanical distortions that can result in both image placement errors and image blur. Finite element methods have been used to model the exposure process of the Scattering with Angular Limitation Projection Electron-beam Lithography (SCALPEL) mask. Thermal and structural finite element models have been generated to simulate the response of the SCALPEL mask during the exposure process and predict the resulting thermomechanical distortions. The results of these finite element analyses and the contributions of the thermal deformations to image placement error are presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The stencil reticle is one of masks for EPL (Electron beam Projection Lithography). The ability to repair pattern defects in such masks is an essential requirement for their use. For clear defects, repair issues include deposition on the stencil pattern, electron scattering properties of the deposition material, stability of the repair against 100 kV electron beam (EB) bombardment and etching and pattern profile accuracy. For opaque defects, ion milling of the stencil material to high accuracy is required. In this paper, we discuss the repair deposition of clear defects up to 0.15 micrometer using a carbon-compound precursor and the critical dimension (CD) controllability of the exposed resist pattern with various thickness of repair depositions using Nikon's EB experimental projection column (100 kV). In addition, using a Monte Carlo simulation of the electron scattering in the silicon membrane and the repair deposition, the beam contrast is estimated for EPL at contrast aperture (CAP) size. The resist CD can be controlled using more than 2 micrometer-thick Diamond like Carbon (DLC) deposited on the stencil reticle and shaped by focused ion beam (FIB). Profiles, pattern sizes and the electron scattering properties of DLC repairs are stable against 100 kV EB irradiation (about 2 C/cm2 dosage; corresponding to half-year dosage). These results show the possibility of DLC as the repair material for clear defects. The possibility of repairing opaque defect, which involves FIB milling of sizes from 0.2 to 0.4 micrometer, is also demonstrated.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Stringent error budgets for Next Generation Lithography masks require accurate pattern placement. Therefore, predictions of distortions induced during mask fabrication and usage are needed to optimize processing and exposure conditions. This paper focuses on the in-plane distortions resulting from pattern transfer during the fabrication of ion-beam projection lithography and electron-beam projection lithography stencil masks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A novel ion projection lithography (IPL) technique called Maskless Micro-ion-beam Reduction Lithography (MMRL) is currently being developed at the Lawrence Berkeley National Laboratory. This system completely eliminates the first stage of the conventional IPL system that contains the complicated beam optics design in front of the stencil mask. The MMRL system employs an RF-driven multicusp source, a universal pattern generator in place of the conventional stencil mask, and an accelerator column for beam reduction and scanning. The pattern generator is much thicker (40 micrometer) than conventional stencil masks and therefore can provide considerable mechanical stability. Positive hydrogen or helium ions generated in the multicusp source will impinge on the pattern generator with energy on the order of 40 eV and produce minimal heat load and sputtering effects. Ultra-low thermomechanical distortions are predicted by the finite element heat transfer simulations. Additional distortion minimization has also been demonstrated by optimizing the membrane geometry with respect to the pattern area. Descriptions of the MMRL ion-beam source and results for each modeling activity are presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The thickness of the photoresist directly impacts the etch stand off and may impact the number of defects in the spin- coated film. However, the maximum imaging layer thickness for extreme ultraviolet lithography (EUVL) is limited by absorption of the radiation. Attenuation in photoresist materials at relevant EUV wavelengths was calculated with atomic extinction coefficients provided from Henke et al. The calculations indicated that photoresist materials have an optical density (O.D.) of 4.0 micrometer-1 (base e) so that 100 nm thick imaging layers have approximately 67% transmission at 13.4 nm wavelength. Using Prolith/3DTM (Finle Technologies, Austin, TX) simulations of the effect of highly attenuating materials on sidewall slope were done and shown to be small. Imaging experiments were performed in a commercially-available DUV resist material on the 10 X II microstepper and with an improved EUV resist formulation. The imaging results agreed well with the calculations. Top down and cross-section images showed good sidewall profiles in 95 nm thick films at the nominal dose because over 68% of the energy was transmitted through the film. When the thickness of the film was increased, the dose was increased slightly to compensate for the absorption while good sidewall profiles and linearity were maintained. Photoresist thicknesses as high as 145 nm were imaged with a 35% increase in dose. Results are also shown for a single layer resist exposed at 175 nm thickness with only slight sidewall degradation. It is shown that the imaging layer thickness for 13.4 nm lithography is likely to be 120 +/- 15 nm. If 11.4 nm wavelength radiation is chosen for EUV lithography, it is shown that thicknesses of 170 nm is possible.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The single layer chemically amplified resists are investigated for the extreme ultra-violet lithography. From the results of the sensitivity curve, the positive-tone resist of DP603 and the negative-tone resist of SAL601 have high sensitivities and high gamma values to the EUV exposure wavelength. Furthermore, by the optimization of both the dosage and the wafer focusing position, we succeed in replicating 0.056-micrometer-resist- pattern width on the exposure-field size of 10 mm X 1 mm on an 8-inches-diameter wafer. We confirm the resolution capability of the three-aspherical mirror imaging system that has been developed by the Himeji Institute of Technology.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The accuracy of feature overlapping has been one of the most important challenges required in the manufacturing of advanced microelectronic devices. This paper explores the application of Nikon stepper alignment skills and overlay modeling which includes the relationship between Nikon stepper process program parameters and overlay performance. The distribution and number of experiments were carried out to check out the crucial parameters, which will affect overlay individually. In order to characterize the performance of each parameter settings, criteria excluding the alignment error caused by stepper matching and overlay error caused by KLA measurement.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Modified molybdenum/silicon (Mo/Si) multilayers were deposited by ion beam sputtering (IBS). We obtained low-stress multilayers by sub-multilayering each Mo-layer into a trilayer of Mo/Ru (ruthenium)/Mo, and argon (Ar) ion beam polishing (IBP) after each Mo-layer deposition. Conventional Mo/Si multilayers have compressive stress of about -450 MPa, while the low-stress multilayers which we have developed have tensile stress of +14 MPa, on average. The method used is not a heating process such as annealing, thus it does not cause irreversible deformation of the precisely-figured mirror substrates of optics. It is expected that the application of low-stress multilayers to mirrors for reflection of light with a wavelength of 13 nm will make it possible to compose optics without worsening optical properties due to deformation of substrates by the stress of multilayer coatings.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The semiconductor industry utilizes complex patterning tools to achieve the patterning of fine features. These tools require stiff, lightweight, dimensionally stable components in order to reliably pattern photomasks and wafers. Traditionally, these tools have used metals, ceramics, and low expansion glasses. However, a new class of materials, high performance composites, has demonstrated promise for replacing these materials. This paper discusses the design, manufacturing, and test of a carbon fiber composite stage component of an electron beam lithography tool.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Electron beam (e-beam) lithography is one of the potential candidates for defining fine patterns smaller than 100 nm. To increase throughput, variably shaped beams with vector scan and cell projection techniques have been proposed on the e- beam system. In order to achieve high pattern fidelity in the e-beam lithography special care must be taken with respect to effects, that could result from shot-to-shot, subfield-to- subfield, and stripe boundaries. The key considerations on the pattern fidelity are dimension control and edge roughness. In this paper, methods to enhance pattern fidelity are proposed and discussed. A Leica's WEPRINT 200 system (Leica Microsystems Lithography GmbH), which exerts exposure while continuously moving the stage technique to increase throughput, is used for evaluating the effectiveness of these methods. For the dimension uniformity, the important task is to master shot butting, subfield and stripe stitching and counteract the proximity effects. By employing beam sizing for proximity effect correction and double-pass exposure to suppress stitching error, the dimension variation is largely eliminated. Several factors including accelerating voltage, beam size, proximity effect, beam blur due to Coulomb interaction, and process controllability are found to affect the CD accuracy. To improve the CD accuracy, pattern-bias compensation and proximity effect correction methods are employed in 0.1 micrometer range and below. Good results on dimension accuracy are obtained by properly considering the intra- and inter-proximity effects. Finally, the performance comparison between these methods is discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new beam-monitoring system for electron-beam lithography is proposed, which can be used for the variably shaped beam (VSB) method, the character projection (CP) method and the electron- beam mask (EB mask) projection method. The system is composed of micro-apertures and a detector placed below the micro- aperture, which is installed at a focal plane of a mask writer. The micro-apertures are formed on a 1-micrometer-thick Si film on which two 200-nm-thick W layers are deposited. A shaped beam is scanning over the micro-aperture, and the electrons that pass through the micro-aperture are directly detected with the detector, so that the two-dimensional shape and size of the beam are measured. The contrast and the signal-to-noise ratio obtained by this system are greatly superior to those obtained by the conventional mark-scanning method.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A double shielded objective lens has been developed for an electron beam lithography (EBL) system. The lens structure consists of outer magnetic circuit of permalloy and inner ferrite pole-piece, and the ferrite is isolated from the permalloy by a gap. This gap provides high magnetic resistance and reduces a magnetic flux from the permalloy to the ferrite. Therefore, this lens structure is expected to shield inside against outside magnetic field. Computer simulations showed that the magnetic field along the optical axis from the external magnetic field was reduced to less than 15% in the new lens compared with the previous lens. To evaluate the shielding effect experimentally, the change in the beam position on the stage was measured when an external magnetic field was applied. The shielding ability of the new lens was 50 times as large as the previous lens for the horizontal magnetic field. The double-shield structure was proven to be effective to shield the external magnetic field. Furthermore, in order to confirm shielding ability of the practical system, the positional vibration was measured as intensity fluctuation of a back-scattered electron signal from a tungsten mark edge. The beam vibration caused by an environmental field was reduced to 40% compared with the previous system. Thus, it is clarified that the double shielded objective lens is a valuable means of improving the positional accuracy.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An accurate detection of the projected beam shape is the important issue in the electron beam lithography. A new detection system had been developed for obtaining the electron beam shape. The developed system consists of a transmittance mask with hole mark, an electron limiting aperture, and a faraday cup. The transmittance membrane, which has 0.2-micrometers size fine hole marks, was fabricated by double-sided etching. The limiting aperture cuts the scattered electrons that pass through the transmittance membrane. And high contrast can be obtained by the difference between the yields of the electron passing through the hole and that of electrons scattered in the transmittance membrane. Monte-Carlo simulation was performed to estimate the scattering contrast, validity of the system was thus proved. The cell projection beam, which has a 5-micrometers 2 are and a 0.2-micrometers line width, was applied for experiment. The detection contrast of the new method is 18 times higher than that of a conventional method with a dot mark. The detection resolution, which depends on the diameter of the dot or hole, was about 0.2-micrometer. We conclude that the new detection system is suitable for detecting a shaped beam such as cell projection method and electron projection lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The paper looks into the applicability of two models of Coulomb interactions -- space charge interaction and two particles interaction -- for image distortion calculations in the SCALPEL projection system. In contrast to [1-3], the paper takes into consideration the electron beam structure which is determined by the image structure, focus and astigmatism conditions. The calculations show that with the increasing electron current the space charge interaction becomes stronger than two particle interactions. In a qualitative sense spherical aberrations caused by Coulomb interactions are the same in the both models. The inference is that a Coulomb decrease in resolution in this particular system is largely due to space charge interactions. The calculations of the central point spread show that the resolution can be increased several times by correctly choosing the location of the image plane. With the beam energy of 100 kV and current of 50 (mu) A the highest resolution is 25 nm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Studies show that Coulomb interactions in the crossover region contribute significantly to the beam blur on the wafer at high beam currents. We increased the crossover size using a pair of compensating lens aberrations. Up to a 20% spot size reduction has been achieved at 20 (mu) A beam current and 1.5 mR convergence angle in simulation. We further compare interbeamlet electron interactions with intra-beamlet electron interactions in the column for different column configurations. Results also show that the stochastic blur due to Coulomb interactions from different beamlets can be combined on a root mean square basis. This result has been used to evaluate and compare the blur contribution from the stochastic interaction and space charge effect.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Nikon is developing an Electron Beam (EB) stepper as one of the next-generation lithography systems for feature sizes of less than 100 nm. As a reticle for the EB stepper using a high power EB (acceleration voltage: 100 kV, current on reticle: 100 (mu) A), a scattering stencil reticle with a grid-grillage structure has been investigated, EB projection experimental column which operates a high power EB was constructed. Some experimental data of scattered electron characteristics using the EB projection experimental column are given as follows: (1) Scattering contrast of 99.9% can be obtained using 100 kV electron beam (membrane thickness; 2 micrometer, aperture half angle onto reticle; 2 mrad). (2) Changes of resist pattern width of 1:1 and 1:2 lines and spaces are around 40% and around 20% respectively due to the proximity effects by backscattered electrons form the silicon substrate. (3) Contrast of EB mark detection for the system calibration, the reticle alignment, and the wafer registration is obtained. Comparing with the values that be obtained by theoretical calculation, some of experimental data gave good agreement.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In order to assess the imaging quality of EUV optics, extensive metrology needs to be performed. Mirror substrates are commonly investigated with AFM, while optical probes and visible light interferometry are used to probe respectively high-, mid- and low spatial frequencies. Coatings on flat substrates are usually investigated using Cu-K(alpha) , i.e. X- ray reflectometry at grazing incidence. EUV-reflectometry can be performed on curved optics as well. Assembled EUV imaging systems have been evaluated by both visible-light and EUV interferometry. Most EUV interferometry has been performed with a point-diffraction interferometer, requiring the laser- like spatial coherence of a synchrotron. Early demonstrations indicated that the compact laser plasma source proposed for EUV lithographic tools could also be used. We have continued in this direction, and have demonstrated by means of computer simulation and experiments with visible light that an absolute accuracy of better than 10 milliwaves, i.e. 0.13 nm when using EUV, can be achieved by a shearing interferometer. This contribution describes the realization and first results of such an interferometer, employing a laser plasma as a EUV light source. The same scheme could however be used for all EUV sources proposed for EUV lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The VSX is essentially a miniature discharge capable of emitting soft X-ray radiation. Because the radiation is emitted in small dose in each spark, it is necessary to repeat the phenomenon at high frequency in order to achieve the industrial throughput requirement. Relying on a X-ray collimator (either nested cones or capillary), the point radiation source can deliver a high quality beam suitable for microlithography. Current study shows that the X-ray energy per pulse can be 113 (mu) J or higher with a pulse width (FWHM) of less than 50 ns. A 30 kHz operation in burst mode has been realized. The optimum conditions of the VSX operation have been carefully studied and are reported here. The lifetime can be as high as tens of hours in the low frequency operation or 100 million shots and this figure can be greatly improved. Pinhole camera images show that the source size is less than 1 mm. The throughput of exposing 1 field per hour or more is readily achievable with current parameters and can be greatly improved with the higher operating frequency. The Spherical Pinch is a modified (theta) -pinch with high efficiency in the EUV region of spectrum. Working with different gases, the SPX machine (up to 33 kJ input energy) is optimized for EUV emission and can be fitted in an advanced EUV lithography system. The point source requirements are indeed met by the SPX for such system.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have assembled and aligned projection optics for extreme ultraviolet (EUV) lithography. The projection optics consists of three aspherical mirrors. First, the positions of the mirrors were coarsely adjusted using the side and back surface of the mirrors. Next, the mirrors were finely aligned to minimize the wavefront errors which were measured by an interferometer. The adjustable axes were selected according to the results of the analysis of the allowable error range. The compensation values for each adjustable axis were calculated by commercially available ray-tracing software. After the alignment procedure, the wavefront error of 3 nm RMS was achieved.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A design of four-mirror imaging optics is presented for the wavelength of 13.5 nm. The numerical aperture (NA) is 0.15. The reduction ratio is 5:1. The exposure area on wafer is 0.5 mm (width) X 25 mm (chord length). The designed resolution is 50 nm with MTF approximately equals 60%. The distortion is less than 0.01% and the astigmatism is near zero at the designed ring field.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A concept for a novel arc-field, illumination projection system, particularly for EUVL applications, is presented. This system consists of a light source, a collector, a fly-eye, and a condenser. The fly-eye has two reflectors. One of them is constructed with using arc-shaped, concave mirror elements, the other using many rectangular, concave mirror elements. Both elements are arranged closely side by side. All of the arc-shaped mirrors have a corresponding rectangular mirror on a one-to-one basis. Each rectangular mirror projects its corresponding arc-shaped mirror's images onto an arc projection field on the mask. As a consequence, all of incident rays on fly-eye reflector constructed by arc-shape mirror elements are gathered in the arc projection field. Currently, the main type of illumination system for EUVL is based on so-called 'Koehler-critical illumination,' which is not necessarily proper for a scanner system. Then this system adopts Koehler illumination. It is necessary for scanner illumination systems to have means for minute adjustments of its properties (e.g. irradiance distribution). Such adjustments means are presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Gas target parameters are determined both experimentally and by numerical simulation. A new experimental setup was built to produce a supersonic gas jet to implant schlieren photography to investigate the gas jet. A numerical study was also performed to estimate parameters of the jets and effects of nozzle shapes, pressure ratio as well as working and ambient gas parameters. Effect of homogeneous nucleation and micro- droplets formation in the expanding jet was taking into account. The developed approach demonstrates good efficiency, flexibility and accuracy. It enables one to consider nozzle starting processes with shocked flows characterized by complicated structure and phase transfer processes. Jet structure and parameters (density, velocity and temperature fields) were determined as well as fraction of condensed matter and micro-drop parameters.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A visible light interferometer to measure the figure of aspherical mirrors, as used in extreme-ultraviolet lithography, will be presented. Except for two fiber tips to generate the reference and object wavefronts, it contains no auxiliary optics. The phase difference is measured using frequency modulation and heterodyne techniques. The figure is computed from the phase difference. We determined the specifications for the two-dimensional detector array, with which the phase difference is measured, and computed the position accuracy of the optical components. The resolution of the frequency modulation system should be 0.3 micrometer and the accuracy of the heterodyne technique should be 0.1 nm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Extreme Ultra Violet Lithography (EUVL, (lambda) equals 13.4 nm) is one of the next generation lithography technologies developed for patterns smaller than 70 nm feature size. In our system, EUV light is obtained from an undulator in an electron storage ring. This provides a temporally and spatially coherent light source for Extreme Ultra Violet Interferometric lithography (EUV-IL). The patterning system uses a Lloyd mirror interferometer. Using EUV-IL to print high-resolution pattern allows us to study resist characteristic in the EUV. Previously we demonstrated 19 nmL/S fringe pattern by using IL technique with EUV light. In this paper, we will report our progress on development of sub-50 nm dense line/space patterns using EUV-IL, and the transferring patterns into 0.12 micrometer Poly-Si.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new interface has been created to link existing deposition/etching and electromagnetic simulation software, allowing the user to program deposition and etching conditions and then find the reflective properties of the resultant structure. The application studied in this paper is the problem of three-dimensional defects which become buried during fabrication of multilayer mirrors for extreme ultraviolet lithography. The software link reads in surface information in the form of linked triangles, determines all nodes within the triangles, and then creates nodes lying between triangles of different layers to create a 3- dimensional inhomogeneous matrix containing the materials' indices of refraction. This allows etching and depositions to be input into SAMPLE-3D, a multi-surface topology to be generated, and then the electromagnetic properties of the structure to be assessed with TEMPEST. This capability was used to study substrate defects in multilayer mirrors by programming a defect and then sputter-depositing some forty layers on top of the defect. Specifically examined was how the topography depended on sputter conditions and determined the defects' impact on the mirrors' imaging properties. While this research was focused on application to EUV lithography, the general technique may be extended to other optical processes such as alignment and mask defects.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Extreme ultraviolet lithography (EUVL) is one of the candidates to fabricate a sub-0.1 micrometer-pattern. We have developed an Engineering Test Stand (ETS-0) which consists of three aspherical mirrors imaging optics for EUVL. This optics meets the specification of sub-0.1 micrometer generation. The key technology of EUVL is a development of reduction optics. The requirements of both figure error and surface roughness are less than 0.3 nm, and the wave-front error (WFE) of optical system has to be reached to be less than (lambda) /14 rms, where (lambda) is the exposure wavelength. Therefore, the high-precision fabrication and alignment techniques for the optics are required. We have developed the alignment procedure of three-aspherical-mirror optics to minimize the WFE, by the Fizeau-type interferometer using He-Ne laser ((lambda) equals 632.8 nm) and by the ray trace program (CODE-V). Namely, we have found the effective mirror-adjustment-axis to realize the high-precision alignment. The effective axis is decided by the priority for the adjustment axis. The priority is lead by two methods. One method is decided by the contribution to the WFE reduction that was calculated by CODE-V. The other method is decided by the correlation between the amount of decentration (shift for X-axis or Y-axis direction), despacing (shift for Z-axis direction), tilt of each mirror and the F.Z.- coefficients. The mirror is adjusted in the order of the priority of mirror axis. As a result, the WFE of 3 nm RMS has been achieved by using this alignment procedure in three- aspherical-mirror optics.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Extreme Ultra-Violet lithography is one of the leading next generation lithography options. Currently, EUV masks are routinely made of reflective mirrors made of Mo/Si multi- layers, which have a peak reflectivity of 67.5% at a wavelength of 13.4 nm. However, in order to increase the throughput of an EUVL system, a new set of Be-based multi- layers are being developed, which have a peak reflectivity of near 70% at 11.4. The two materials that have recently been developed are Mo/Be and MoRu/Be multi-layers. Beryllium based multi-layer masks show great promise for a significant increase in the lithography system throughput (2 - 3X over the current Mo/Si multi-layer mask) due to their increased reflectivity and bandwidth at 11.4 nm where the xenon laser plasma source is more intense. We have successfully developed a process to fabricate masks using Be-based multi-layers. The absorber stack consists of TaSiN (absorber), SiON (repair buffer) and Cr (conductive etch stop) deposited on the multi- layer mirror. Lawrence Livermore National Laboratory supplied the Mo/Be and MoRu/Be multi-layer mirrors used to fabricate the masks. Completed masks were exposed at Sandia National Laboratories' 10X EUV exposure system and equal lines and spaces down to 80 nm were successfully printed. The paper addresses the issues and challenges to fabricate the mask using Be-based multi-layers and a comparison will be made with the Mo/Si multi-layer mask patterning process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An EUV/soft x-ray reflectometer is described which is based on a laser produced plasma source. Continuous wavelength scans in the range 4 nm to 40 nm are possible using a spherical grating monochromator. Focusing optics enable sub-millimeter illumination spot sizes to be achieved at the sample. Rotation and translation stages allow measurements to be made from grazing incidence to 85 degrees on samples as large as 200 mm diameter.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
One-hundred-nm-resolution holographic aerial image monitoring based on lensless Fourier-transform holography at EUV wavelengths using a synchrotron-based source is described. This method can be used to monitor the coherent imaging performance of EUV lithographic optical systems. The system has been implemented in the EUV phase-shifting point diffraction interferometer (PS/PDI) at Lawrence Berkeley National Lab. Here we introduce the idea of the holographic aerial image recording technique and present imaging performance characterization results of an EUV 10x-reduction Schwarzschild objective.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
John B. Wronosky, Tony G. Smith, Marcus J. Craig, Beverly R. Sturgis, Joel R. Darnold, David K. Werling, Mark A. Kincy, Daniel A. Tichenor, Mark E. Williams, et al.
This paper is an overview of the wafer and reticle positioning system of the Extreme Ultraviolet Lithography (EUVL) Engineering Test Stand (ETS). EUVL represents one of the most promising technologies for supporting the integrated circuit (IC) industry's lithography needs for critical features below 100 nm. EUVL research and development includes development of capabilities for demonstrating key EUV technologies. The ETS is under development at the EUV Virtual National Laboratory, to demonstrate EUV full-field imaging and provide data that supports production-tool development. The stages and their associated metrology operate in a vacuum environment and must meet stringent outgassing specifications. A tight tolerance is placed on the stage tracking performance to minimize image distortion and provide high position repeatability. The wafer must track the reticle with less than +/- 3 nm of position error and jitter must not exceed 10 nm rms. To meet these performance requirements, magnetically levitated positioning stages utilizing a system of sophisticated control electronics will be used. System modeling and experimentation have contributed to the development of the positioning system and results indicate that desired ETS performance is achievable.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The stringent requirements placed on sub-100 nm feature lithography require the development of a low distortion mask. The distortions due to the fabrication process of extreme ultraviolet lithography masks have been predicted using finite element methods. The investigation included the simulation of the mask blank fabrication, pattern transfer and mounting. Film stress gradient effects for the multilayer stack, absorber layer and resist layer were also evaluated, considering both in-plane and out-of-plane distortions. To assist in optimizing the final substrate and pattern dimensions, a number of different substrate formats and materials were considered. To determine the effects of pattern density, different types of patterns were modeled, including uniform coverage, a 'half-and-half' pattern and an orthotropic feature pattern. Reticle placement errors were less than 2 nm at the mask level (0.5 nm at the wafer level) for nearly all cases studied.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Lithographic masks must maintain dimensional stability during exposure in a lithographic tool to minimize subsequent overlay errors. In extreme ultraviolet lithography (EUVL), multilayer coatings are deposited on a mask substrate to make the mask surface reflective at EUV wavelengths. About 40% of the incident EUV light is absorbed by the multilayer coating which leads to a temperature rise. The choice of mask substrate material and absorber affects the magnitude of thermal distortion. Finite element modeling has been used to investigate potential mask materials and to explore the efficiency of various thermal management strategies. An experimental program was conducted to validate the thermal models used to predict the performance of EUV reticles. The experiments closely resembled actual conditions expected within the EUV tool. A reticle instrumented with temperature sensors was mounted on a scanning stage with an electrostatic chuck. An actively cooled isolation plate was mounted in front of the reticle for thermal management. Experimental power levels at the reticle corresponding to production throughput levels were utilized in the experiments. Both silicon and low expansion glass reticles were tested. Temperatures were measured a several locations on the reticle and tracked over time as the illuminated reticle was scanned. The experimental results coupled with the predictive modeling capability validates that the assertion that the use of a low expansion glass will satisfy image placement error requirements down to the 30 nm lithographic node.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this work we describe a new method suitable for large area nanoimprint lithography. In step&stamp process the pattern on a stamp is transferred into a polymer layer on the substrate by repeating a step&stamp cycle. The method is demonstrated by imprinting matrices of test structures on polymer-coated 100 mm silicon wafers. A new polymer, PPM, is used as resist in the experiments. The polymer has been developed to fulfill the demands of imprint lithography. Patterns with sizes down to 400 nm were imprinted into either 100 nm or 340 nm thick PPM resist. After thinning in oxygen plasma, the resist layer is used as etching mask or for fabrication of interdigitated aluminum fingers by lift-off.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Ablation of fluorine-containing polymers is studied under the influence of ionizing roentgen radiation. Physical-chemical properties of the polymers FEP and PVDF irradiated with synchrotron radiation were investigated. When film temperature increases because of the irradiation, intense removing of the polymer proceeds with high selectivity. Polymer membranes with regular disposition of through pores were created in the absorbing layer of submicron size in the process of irradiation of fluorine-containing films through a mask with regular holes.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Minimizing image placement errors due to thermal distortion of the mask is a key requirement for qualifying EUV Lithography as a Next Generation Lithography (NGL). Employing Low Thermal Expansion Materials (LTEMs) for mask substrates is a viable solution for controlling mask thermal distortion and is being investigated by a wide array of researchers, tool makers, photomask suppliers, and material manufacturers. Finite element modeling has shown that an EUVL mask with a Coefficient of Thermal Expansion (CTE) of less than 20 ppb/K will meet overlay error budgets for <EQ 70 nm lithography at a throughput of 80 wafers per hour. In this paper, we describe the functional differences between today's photomask and EUVL masks; some of these differences are EUVL specific, while others are natural consequences of the shrinking critical dimension. We demonstrate that a feasible manufacturing pathway exists for Low Thermal Expansion Material (LTEM) EUVL masks by fabricating a wafer-shaped LTEM mask substrate using the same manufacturing steps as for fabricating Si wafers. The LTEM substrate was then coated with Mo/Si multilayers, patterned, and printed using the 10X Microstepper. The images were essentially indistinguishable from those images acquired from masks fabricated from high quality silicon wafers as substrates. Our observations lend further evidence that an LTEM can be used as the EUVL mask substrate material.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper, the printability of Extreme ultraviolet (EUV) mask contact layer defects at 90 nm contact size and above is studied via ultra-thin DUV resist and 10X EUV Microstepper. The EUV mask contact defect size requirement is determined by taking into account the wafer process critical dimension (CD) variability. In the experiment, two types of contact mask defect were studied. They are opaque defect placed at both edge and center of a contact and clear defect at edge of a contact. The programmed EUV absorber defect mask was fabricated by subtractive metal patterning on a Mo/Si multilayered-coated silicon wafer substrate. The 10X experimental EUV lithography system with 13.4 nm exposure wavelength and 0.088 NA imaging lens was used to expose the programmed defect mask. The response of the printed resist contact area to the metal absorber mask defect area is measured under different process conditions, i.e., different exposure doses. It is found that the EUV resist contact area responds to the mask defect area linearly for small mask defects. From such a set of contact area change vs. defect area response lines, the allowable absorber mask defect requirement for the contact layer is assessed via statistical explanation of the printable mask defect size, which is tied to the wafer process specifications and the actual wafer process CD controllability. Our results showed that a clear and an opaque intrusion corner absorber mask defect as small as 70 - 80 nm (4X) is printable for 90 nm contacts when 10% contact area change (or 5% contact DC change) due to defect alone is allowed. The effect of an opaque defect at center of a contact is found similar to that of corner opaque defect for smaller defect. It becomes much worse than that of at edges when defect is large. Based on the statistical defect printability analysis method that we have developed, the printable mask defect size can always be re-defined without additional data collection when the process controllability or the process specification changes.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We discuss here the capability and details of direct manufacture of various high-resolution diffractive optics using focused ion beam (FIB) micromachining. In preliminary demonstrations illustrating the capability of the technique, zone plates and gratings were milled in gold using a reactive atmosphere in an automated FIB system operating at 30 keV with a Gallium liquid metal ion source equipped with an iodine beam gas injection system (GIS). Gratings with 20 nm line width and zone plates with 32 nm outer ring were milled directly through 3.5 micrometer and 800 nm of gold respectively.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Initial characterization efforts of Dense Plasma Focus (DPF) technology showed that efficient conversion of electrical energy into in-band emitted radiation could be achieved. Results previously reported showed that 25 J of electrical energy can be converted into 0.38 J of in-band, 13.5 nm radiation emitted into 2 (pi) steradians. This prototype configuration demonstrated a 1.5% conversion efficiency into 2 (pi) steradians, but exhibited several major drawbacks. The two greatest issues were excessively high stored energy per pulse and poor stability of the plasma size and position. Such high input energies would limit the maximum pulse repetition rate and poor plasma stability would lead to excessive electrode erosion and large integrated source size. Recent efforts have concentrated on reducing the required input energy while simultaneously improving stability. The result of these efforts is a DPF system that exhibits table operation with as little as 1.5 J of input energy and has demonstrated pulse repetition rates as high as 2500 Hz. Once a stable, low input energy DPF was achieved, this prototype DPF device was fitted with a simple Lithium vapor delivery system. Pinhole camera images of the Lithium vapor source show that it is stable with a size of less than 350 micrometer FWHM. In this technology's present state, the potential in-band collectable EUV optical power is estimated to be 6.9 W.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Electron beam lithography systems have historically had low throughput. The only practical solution to this limitation is an approach using many beams writing simultaneously. For single-column multi-beam systems, including projection optics (SCALPELR and PREVAIL) and blanked aperture arrays, throughput and resolution are limited by space-charge effects. Multibeam micro-column (one beam per column) systems are limited by the need for low voltage operation, electrical connection density and fabrication complexities. In this paper, we discuss a new multi-beam concept employing multiple columns each with multiple beams to generate a very large total number of parallel writing beams. This overcomes the limitations of space-charge interactions and low voltage operation. We also discuss a rationale leading to the optimum number of columns and beams per column. Using this approach we show how production throughputs >= 60 wafers per hour can be achieved at CDs <EQ 100 nm, independent of both wafer diameter and die size. The Cost-of-Ownership (CoO) advantages of direct-write (maskless) lithography are significant especially for small-volume semiconductor fabrication, for example ASICs, SOCs and MPUs.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A xenon Z-pinch generating extreme ultraviolet radiation at the Mo-Si mirror wavelength of 13.5 nm has been scaled to emit increased power at a higher repetition frequency. The 25 mm long by 3.0 mm (FWHM) diameter pinch produces 1.5 W of EUV radiation (2.5% bandwidth) into an axial 0.1 sr solid angle when operated at 100 Hz (100 J stored). The measured average pinch liner heat load at 100 Hz is 37 W cm-2, corresponding to an average internal wall temperature of 80 degrees Celsius. Electrode and liner erosion is very slight after more than 106 pulses at 100 Hz. Source cleanliness was demonstrated via a two-mirror simulation of a condenser in which throughput was unchanged during a 106 pulse run at 50 Hz. Amplitude stability was 12% (3(sigma) ) and positional stability was less than 4% of source diameter (1(sigma) ). 13.5 nm output scaled linearly with repetition frequency to more than 150 Hz (58 J stored). The cost of ownership for this source is estimated to be no greater than for an excimer laser illuminator. A plan is outlined for continued development to > 1 kHz and usable power of 16 W.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The ability to produce fine features using X-ray proximity lithography is controlled predominantly by diffraction and photoelectron blur. The diffraction manifests itself as feature 'bias.' The classical approach is to attempt to minimize the bias; that is, to print features which are 1:1 images of those on the mask. However, bias can also be exploited to print features smaller than those on the mask. This demagnification-by-bias technique can be optimized with respect to mask-wafer gap and resist processing, and can provide reductions of 3X to 6X. Demagnification offers many of the same advantages as projection optical lithography in terms of critical dimension control: relaxed mask features CD. In addition, it provides a very large 'depth of focus' and wide dose latitude. In consequence proximity X-ray lithography is extendible to feature sizes below 25 nm, taking advantage of comparatively large mask features (> 0.1 nm) and large gaps (10 -25 micrometer). The method was demonstrated for demagnification values down to X3.5. To produce DRAM half- pitch fine features techniques such as multiple exposures with a single development step are proposed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
At-wavelength, extreme ultraviolet interferometric measurements of a new, four-mirror, ring-field projection optical system have been made. Designed for operation at 13.4- nm wavelength with a 0.1 numerical aperture and a 26 mm field of view at the wafer, the nearly diffraction-limited wavefront quality of the system has been verified interferometrically. After assembly and alignment with visible-light interferometry, the optic was transported to Lawrence Berkeley National Laboratory where the at-wavelength testing with a phase-shifting point diffraction interferometer was performed. Measurement of the system wavefront at a number of points across the field of view reveals the optical performance of the system over its large, ring-field imaging area.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.