PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
This PDF file contains the front matter associated with SPIE Proceedings Volume 7545, including the Title Page, Copyright information, Table of Contents, Foreword, and Conference Committee listing.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Microelectronics industry leaders consistently cite the cost and cycle time of mask technology and mask supply as top critical issues. A survey was designed with input from semiconductor company mask technologists and merchant mask suppliers and support from SEMATECH to gather information about the mask industry as an objective assessment of its overall condition. This year's assessment was the eighth in the current series of annual reports. Its data were presented in detail at BACUS, and the detailed trend analysis is presented at EMLC. With continued industry support, the report can be used as a baseline to gain perspective on the technical and business status of the mask and microelectronics industries. The report will continue to serve as a valuable reference to identify the strengths and opportunities of the mask industry. Its results will be used to guide future investments on critical path issues. This year's survey is basically the same as the surveys in 2005 through 2009. Questions are grouped into six categories: General Business Profile Information, Data Processing, Yields and Yield Loss Mechanisms, Delivery Times, Returns, and Services. Within each category is a multitude of questions that creates a detailed profile of both the business and technical status of the critical mask industry.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
EUVL requires the use of reflective optics including a reflective mask. The reticle blank contains a
reflecting multilayer, tuned for 13.5nm actinic light, and an absorber which defines the dark areas. The
oblique incidence of light in combination with the small wavelength in comparison to the mask topography
causes a number of effects which are unique to EUV, such as an H-V CD offset and an orientation
dependent pattern placement error. These so-called shadowing effects can be mitigated by a smart choice of
materials and thicknesses in the absorber stack.
In this paper we will provide a comparison of the imaging performance of three reticles with different mask
absorber stacks exposed on ASML's two alpha demo tools. We will focus on the imaging performance of
32nm L/S through pitch. Not only is the impact of the mask absorber stack on shadowing evaluated, but
also on process windows and MEEF. The experimental data is compared to simulations to support the
observations and to provide a more in-depth analysis of the impact of the various layers in the mask stack
on CDU at wafer level. The results will be used to estimate the impact on CDU performance of the
NXE:3x00 for 27 and 22nm node applications, providing guidance for mask manufacturing for HVM
process development.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
According to the International Technology Roadmap for Semiconductors, meeting the overlay requirements for the sub-
32-nm regime is a difficult challenge for all future lithography technologies. For extreme ultraviolet lithography, the
nonflatness of both the mask and chuck contribute to overlay error by way of mask image placement (IP) errors.
Consequently it has been proposed to compensate for these IP errors induced during mask fabrication and chucking, by
employing correction schemes during the e-beam writing process.
This study presents an overview of various IP error compensation techniques currently being considered by the
semiconductor industry. Both finite element (FE) and analytical models have been studied and compared to identify
the sources of IP errors and the corresponding effects on IP accuracy. Typical examples were used to determine the
effects of thin-film deposition and etching, reticle nonflatness, and the chucking process itself. The neutral surface of
the mask substrate was tracked via FE modeling and was subsequently used with analytical methods to estimate inplane
distortions. The shortcomings and advantages of implementing these techniques as an e-beam correction
strategy are also presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
There is a strong demand for stand alone actinic tools for high volume manufacturing of EUV mask infrastructure.
Among such metrology tools reflectometry, blank inspection, mask defect and pattern inspection are of special need to
be in pilot lines of EUVL roadmaps expected to prepare production in 2012 to 213. With existing lab sources and
metrology tool technology we expect to make significant contributions.
With the existing EUV-reflectometer developed for mask blank characterization accuracies of < 0.1 % in peak
reflectivity precision and 0.002 nm for centroid wavelength (CWL_50. 1 σ) are routinely achieved on both reflective
multilayer coated and absorbers coated blanks. Furthermore, new upgrades for fiducial mark detection in the
reflectometer allow for measurements on structured masks with precise positioning (better than 50 μm). Meanwhile,
detail studies on reproducibility and sensibility of measurement versus tilt angle have been performed. These studies
shows less than 1% change in peak reflectometry due to 500 μrad tilt, while accuracy of alignment is <100 μrad. We will
demonstrate our recent achievements and further plans to along the roadmap requirements.
Actinic mask blank defect inspection is considered crucial and is required at mask blank suppliers and perhaps in mask
houses. For this aim, a proof of concept experiment based on an EUV microscope has been set up. The first results are
presented together with tool extrapolation. We analyze the achievable defect resolving power and its localization with
limited performance state-of-the-art collecting objectives. Possible alternative approaches are discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The requirements for advanced lithography solutions are constantly increasing. Up to now the optical lithography with
its extension into the immersion lithography and strong resolution enhancement techniques (RET) represents the
lithography solution of choice. With decreasing critical dimensions (CD) new approaches are needed to provide cost
effective methods for small and medium volume production on one side and for efficient photomask fabrication
including the RET on the other side.
A viable solution for both application fields is the Multi Shaped Beam (MSB) technology, which is currently being
developed at Vistec Electron Beam in Jena. E-beam technology has already been used for R&D as well as prototyping
applications well ahead of the respective production technology node. It is as well the technology of choice for the future
mask fabrication. However, the decreasing CD and thus increasing pattern density asks for new ideas to overcome the
throughput challenges faced today. This bottleneck is addressed by parallel writing methods where MSB is one of these
techniques.
In correlation with the MSB writing technology an appropriate data preparation path is mandatory. One major criterion
for the performance of MSB data processing is the shot count reduction which can be reached for different types of
patterns. In this paper results from an analysis of the current status of MSB shot segmentation are reported. Beside
collecting statistical data of the individual structure size distribution an analysis of the shot count on different pattern
coming from mask write as well as direct write applications was carried out. This was performed for different technology
nodes and the results are compared.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In electron beam lithography, the electron scattering and the corresponding proximity effect highly influence the feature
resolution. Especially for sub-100 nm features a compensation for this effect is needed. There are several methods of
determination of the proximity parameters, which mostly are time-consuming and complex due to a need of an initial
proximity effect correction and immense measurement effort. In this paper the checkerboard pattern is proposed to
provide the opportunity for proximity parameter determination in a fast and easy manner without using a sophisticated
CD-SEM metrology. The concept is illustrated by simulation and first experimental results are shown.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Double Patterning Lithography (DPL) techniques for next generation wafer exposures are placing greater demand on the
requirements for pattern placement accuracy on photomasks for three reasons. First, a new source of wafer overlay error
results from interactions between the two masks, so the specification for each individual mask must be tightened to
compensate. Second, specifications have become so tight that the distortion caused by the pellicle bending the mask has
become a significant contributor to the wafer overlay error budget. Pellicle-induced distortions are particularly insidious
because they are not repeatable from substrate to substrate. Third, the tightening of overlay specifications demands
tighter e-beam pattern placement control throughout the die, regardless of pattern density. This makes measuring actual
features in-die instead of registration test structures important.
The combination of increased demand for greater pattern placement accuracy, a need to characterize the influence of
pellicle distortions, and the requirement to measure actual device features drives the need for a pattern placement
metrology system capable of high resolution through-pellicle in-die measurements. Key enablers of this capability
include high measurement resolution, a low noise platform and a long working distance objective. This paper reports
experimental results on mask features of various sizes using a next-generation pattern placement metrology system
designed to meet the strict DPL requirements outlined here.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Critical dimension (CD) targeting is one of the key process parameters for the disposition of photomasks. The
specifications are tightened at a constant rate over the years and are currently in the range of 3 nm for the most
critical layers. Many efforts have been put in prediction of the critical dimension that is targeting the actual
product based on data of previous products and also using resist data for further analysis and correction cycles.
So far the tool of choice was the CD SEM (scanning electron microscope) with significant shortcomings due to
altering the resist and its defect criticality during resist measurement.
Here we present data of long term resist CD monitoring on an n&k CDRT 5700 scatterometer system
measuring standard mask patterns in the non-active field. Presented are results for one resist on two different
photomask stack materials. The data is compared with the final CD measurement by CD SEM. The data is
correlated accounting for tool variances in the manufacturing process and the mask clear field loading. The
resulting model is still fairly simple with only 4 parameters for each process of record, one of them for the slope
of CD values between CD SEM and n&k and three offsets for different process variables.
The data shows stable model behavior over close to one year including several resist lot changes and significant
drifts in the front end process. The maximum forecast error is slightly above 2 nm and the process has a 95%
capability to predict mean to target values better than 2 nm. Furthermore, the defect level has shown to be
constant during that time frame with not a single incidence of particles due to the usage of the scatterometer. The
total cycle time impact is minimal because only 4 points are recorded thus loading and unloading the mask to the
tool is the actual cycle time adder. The described method is capable to significantly improve the CD targeting
performance due to better partitioning of processes.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A deep UV (DUV) microscope equipped with a 193 nm laser source and a high numerical aperture objective (0.9 NA) is
currently developed at the Physikalisch-Technische Bundesanstalt (PTB). The system is designed mainly for traceable
critical dimension (CD) metrology on state of the art photomasks up to 6-inch and offers "at-wavelength" measurements
for current and future 193 nm lithography.
The fundamental mechanical system of the DUV microscope has been carefully designed on the basis of finite element
analysis, ensuring the microscope to have a 3D stability in the nanometer range. The comprehensive illumination and
imaging system of the 193 nm DUV microscope offers four types of functionality: classical transmission microscopy,
polarising microscopy, transmission microscopy with structured aperture illumination and structured field illumination.
Together with a well-developed CD modelling approach, the 193 nm DUV microscope will have the capability to
determine the CD values of micro- and nanostructures with an uncertainty level of < 10 nm for high quality structures.
The status of the 193 nm DUV CD metrology tool is detailed in this publication, and an outlook on future measurement
extensions will be presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The OASIS working group was first initiated in 2001, published the new format in March 2004, which was
ratified as an official SEMI standard in September 2005. A follow-on initiative expanded the new standard
to cover the needs of the mask manufacturing equipment sector with a derived standard called
OASIS.MASK (P44) that was released in November 2005 and updated in May 2008. While there are many
potential benefits from this improved format over the incumbent GDSII and MEBES standards, the main
driver for the development of the OASIS format was the looming data volume explosion from the onward
march of processing and design technology. With a demonstrated benefit of roughly 10x over the GDSII
format, it was expected that the new OASIS format would be embraced quickly by the semiconductor
industry. In reality, the adoption process took significantly longer and is still in progress. The paper
analyzes the data volume and adoption trends by manufacturing steps - e.g design, post tapeout flow and
mask manufacturing. Survey results on the adoption status are shared and an analysis of the technical,
economic, and environmental factors influencing it will be discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We present a finite integration technique (FIT) simulator for modelling light diffraction from lithographic masks with
complex shapes. This method has high flexibility in geometrical modelling and treating curved boundaries. The inherent
feature of FIT allows more accurate rigorous electromagnetic field simulation in complex structures. This technique is
also suited for fast EMF simulations and large 3D problems because of its parallelisation potential.
We applied this method to investigate the effect of various mask shapes on lithographically printed images. The imaging
results were obtained using Dr.LiTHO's imaging simulator. We demonstrate results for attenuated phase-shift mask
(PSM) with different absorber deviations from ideal shapes such as footing and oblique sidewalls.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper a rigorous three dimensional EUV mask simulation model is presented. The mask near field is simulated
with the Waveguide method which is similar to the RCWA approach. Additionally the method is extended by a so called
decompositions technique. The mask image is computed by coupling the Waveguide method with a fully vectorial
imaging simulation model based on an extended Abbe approach. The basic theory of the models is explained. The
optimization and combination of both simulation approaches enables the simulation and analysis of larger EUV mask
areas required for the analysis of complex three dimensional EUV mask structures as well as the very fast simulation of
standard sized EUV mask areas required in the context of source mask optimizations. Corresponding simulation
examples like a rigorous source mask optimization and a mask induced imaging artifact analysis of a larger mask area
demonstrate the capabilities and the performance of the new simulation system.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Determining the quality of a proximity effect correction (PEC) is often done via 1-dimensional measurements such as:
CD deviations from target, corner rounding, or line-end shortening. An alternative approach would compare the entire
perimeter of the exposed shape and its original design. Unfortunately, this is not a viable solution as there is a practical
limit to the number of metrology measurements that can be done in a reasonable amount of time. In this paper we make
use of simulated results and introduce a method which may be considered complementary to the standard way of PEC
qualification. It compares simulated contours with the target layout via a Boolean XOR operation with the area of the
XOR differences providing a direct measure of how close a corrected layout approximates the target.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
All patterning technologies, including e-beam writing, encounter growing challenges to meet the requirements of current
and future semiconductor technology nodes. For e-beam writing the electron proximity effect is one of the most
prominent influencing factors and its optimal correction is a key for achieving sufficient pattern fidelity. Leading
correction algorithms like PROXECCO® currently use a dose modulation strategy for correcting the electron proximity
effect. For obtaining minimum feature sizes of below 50 nm and for most demanding patterns like dense line geometries
additional correction strategies seem to be necessary to meet the pattern fidelity requirements of the semiconductor
industry. The dense line geometries are so sensitive to suboptimal correction because of the achievable contrast in that
case, which is minimal. The result is a small process window and an increased line width roughness (LWR). One of the
possible modifications of the correction strategy is a combination of dose correction and a variation of the pattern shape.
For the scope of this paper we will investigate the potential gains (contrast enhancement) and losses (increase in data
prep and writing time) resulting from the so called "geometrically induced dose correction" method available in the
current version of PROXECCO® integrated in the ePLACE® software package. ePLACE means eBeam Direct Write
and Mask Data Preparation Layout Console and offers the ability to process layout data as well as a state-of-the-art
visualization and exposure simulation capabilities. In this paper we show that especially the simulation capability can be
used to reduce experimental work significantly.
The "geometrically induced dose correction" method is in fact a shape size biasing operation followed by a special dose
correction to meet the intended shape edges. By theoretical considerations and by applying the ePLACE® automatic
simulation & measurement feature to a huge number of measurement areas we investigate the influence of the
geometrically induced dose correction on exposure contrast and CD uniformity for test and real patterns. We also discuss
how the geometrically induced dose correction influences the data prep time and finally the e-beam writing time.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A methodology was developed in order to characterize the deprotection mechanisms implied in 193nm chemically
amplified (CA) resists. This method is based on resist outgassing measurements as a function of exposure dose and bake
temperature using Thermal Desorption-Gas Chromatography - Mass Spectrometry / Flame Ionization Detector (TDGCMS/
FID) technique. This approach allows both quantitative and qualitative studies of the outgassing behaviour and
was validated from a 193nm model resist representative of CA formulations. In so doing, the identification of outgassed
by-products respectively coming from the PAG, from the polymer as well as from the solvent is made possible. In
parallel, quantitative results as a function of exposure dose and temperature allowed us to monitor the deprotection
process and the solvent evaporation. The quantitative results obtained by this technique were in good agreement with
Thermo-Gravimetric Analysis (TGA) results. Such a methodology can be used not only to characterise 193nm resist
outgassing during exposure, but also be extended to monitor resist behaviour during implant, thermal treatment, e-beam
exposure.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The push toward smaller feature size at 193 nm exposure has been enabled by resolution enhancement techniques (RET)
such as phase shifting technologies and optical proximity correction (OPC) which require more costly and time intensive
resources to fabricate. This leads to a higher overall cost associated with each mask, making it more important than ever
for the mask shop to fully utilize and improve its repair capabilities as the presence of defects on the final product is the
major yield reducing factor. An increase in repair capability leads to a direct enhancement in repair yield which
translates to an improvement in overall mask yield and a reduction in cycle time. The Carl Zeiss MeRiT® MG 45
provides numerous benefits over other techniques that can lead to an increase in repair yield. This paper focuses on
methods utilizing the MeRiT® MG 45 that can be employed in a production environment in order to increase mask repair
yield. The capability to perform multiple repairs at a single site without optical degradation enables defects that were not
successfully repaired the first time to be corrected on a subsequent attempt. This not only provides operator mistakes
and inexperience to be corrected for, but eliminates the need to hold up production in order to start a new mask which
can cause a cascading effect down the line. Combining techniques to approach difficult partial height and combination
defects that may have previously been classified as non-repairable is presented in an attempt to enable a wider range of
defects to be repaired. Finally, these techniques are validated by investigating their impact in a production environment
in order to increase overall mask yield and decrease cycle time.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We investigated the deposition of the molecular contaminants on Cr, MoSi and quartz photomask surfaces by means of
representative Cr, MoSi and SiO2 layers deposited on wafers using TD-GCMS for the organics and LPE-IC for the SO2
analysis. Mask-like layers were exposed to organic contaminants outgassed by three different types of mask pods during
storage steps and Cr films were put in SO2 controlled contaminated atmosphere. Organic species contaminating the
different mask surfaces were identified depending on time. A rapid contamination kinetic was highlighted. Results have
shown that low volatile organic compounds have higher deposition rates and that few specific molecules (such as
caprolactam ; acrylic acid, dodecyl ester, butyldiglycol...) contribute in very significant levels (few E+13 atC/cm2). It
also appeared that organics present a higher affinity for quartz and MoSi layers than for Cr surfaces but also that some
compounds can have a specific affinity depending on the surface. These affinity differences have been quantified
through the calculation of the contaminants sticking coefficients. Regarding the SO2 deposition, Cr surfaces have
showed a strong ability to be polluted in clean room conditions, up to a saturation level just higher than 1E+14 SO2/cm2.
The kinetic is rapid and in good agreement with a Langmuir-type adsorption model allowing the determination of the
deposition kinetic constant. Furthermore, it was showed a significant enhancement of SO2 deposition with air humidity.
Finally, this work contributes to a better knowledge of the molecular contaminants deposition behavior on mask
surfaces providing relevant data to control the photomask contamination in order to prevent crystal growth issues.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Large error bars in cleaning experiments are commonly accepted in mask making but such errors restrict potential
improvements in cleaning and restrict the uniform delivery of megasonic (MS) energy. Hence, large error limits in
particle removals have an impact to operational costs based on contamination and breakage. New data handling
methods are developed here, which exceed the current capability scatterometric particle measurement methods and
which create a better statistical basis for interpretation. These improved data treatment methods employ subdivisions of
the mask into regions as small as mm2. The effective number of runs becomes many thousands of time greater which
can compensate for the small number of blanks available for tests due to restricted costs. This new technology is
combined with a precise modeling of the MS tracking patterns on a plate and allows better comparisons between
theoretical modeling and experimentally observed cleans. The combination of these two methods yields an improved
determination of rate kinetics for particle removal. Collectively, these methods provide the basis for better interpretation
of the spatial non-uniformities seen in MS spin cleaning methods with obvious consequences to manufacturing costs.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The last ten years have seen flat displays conquer our briefcases, desktops, and living rooms. There has been an
enormous development in production technology, not least in lithography and photomasks. Current masks for large
displays are more than 2 m2 and make 4-6 1X prints on glass substrates that are 9 m2. One of the most challenging
aspects of photomasks for displays is the so called mura, stripes or blemishes which cause visible defects in the finished
display. For the future new and even tighter maskwriter specifications are driven by faster transistors and more complex
pixel layouts made necessary by the market's wish for still better image quality, multi-touch panels, 3D TVs, and the
next wave of e-book readers. Large OLED screens will pose new challenges. Many new types of displays will be lowcost
and use simple lithography, but anything which can show video and high quality photographic images needs a
transistor backplane and sophisticated masks for its production.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this Paper we present a deep ultra-violet lithography (248nm) based double patterning
technique for the fabrication of Bragg gratings on SOI rib waveguides. The principle of the used
double patterning technique is presented, as well the influence of the process variation on the
device performances. The influence of the overlay error was identified as a possibly limiting
factor for the application of this technique. Usable structures were realized, in spite of small
overlay error and non-rectangular grating profile. The optical characterization showed that the
presented technique is capable to provide high performance Si waveguides and Bragg gratings.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In microelectronic industry, mainly from the 65nm node, phase shift photomasks (PSM) are increasingly used for critical
layers, optical properties of the shifter (MoSi) giving a better control of critical dimensions (CD) in photoresist. Fabusers
and maskshops have committed on specifications that restrict phase and transmission variations within certain
limits. The goal of this study is to validate and/or update these previously admitted limits. A specific test reticle has been
jointly designed with several structures representative of 65nm and 45nm nodes and then manufactured with a specific
process in order to voluntarily degrade the phase and transmission uniformity within the mask. Knowing all CD and their
related phase and transmission on mask, CD variations seen on wafers have been directly linked to phase and
transmission variations. In parallel, rigorous simulations have been performed using Panoramic software in order to
predict effects of phase and transmission variations on wafer. This reticle has been also used for early studies to evaluate
the impact of phase and transmission variations on optical proximity correction (OPC) model.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The availability of defect-free masks remains one of the key challenges for inserting extreme ultraviolet lithography
(EUVL) into high volume manufacturing, yet little data is available for understanding native defects on real masks. In
this paper, two EUV mask blanks with known native buried phase defects were characterized with a Lasertec M7360
(266 nm wavelength), atomic force microscope (AFM), and SEMATECH's actinic inspection tool (AIT), which is an
EUV-wavelength microscope. The results show that there are various kinds of native defects on the mask blank. Not
surprisingly, the surface height and measured EUV intensity profile of real blank defects can differ significantly from
Gaussian-shaped defects. All defects found by the M7360 were observable in the AIT, yet many do not perturb the
intensity enough to be printable in isolation. This paper shows that defects come in various sizes and types and clarifies
what must be done to learn more about real defect printability to achieve defect-free mask blanks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An actinic EUV microscope for defect detection on mask blanks for operation in dark field using table top discharge
produced plasma (DPP) source has been developed. Several test structures (pits and bumps) and natural defects on
multilayer mirrors were characterized with an atomic force microscope (AFM) and then investigated by our
Schwarzschild Objective (SO) based EUV microscope. Possible defect detection limits with large field of view (FOV)
and moderate magnification will be discussed in terms of required source photon flux and detection camera performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Due to the updated ITRS roadmap EUV might enter the market as a productive solution for the 32 nm node1.
Since the EUV-photomask is used as mirror and no longer as transitive device the severity of different defect
types has changed significantly. Furthermore the EUV-photomask material stack is much more complex than
the conventional 193nm photomask materials which expand the field of critical defect types even further. In
this paper we will show, that "classical" 193 mask repair processes cannot be applied to EUV material. We
will show the performance of a new repair process based on the novel ebeam repair tool MeRiT® HR 32.
Furthermore this process will be applied on real EUV mask defects and the success of these repairs
confirmed by wafer prints.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The projection charged particle multi-beam techniques of IMS Nanofabrication are based on
illuminating a programmable Aperture Plate System (APS, consisting of an Aperture Plate and a
Blanking Plate with integrated CMOS electronics) with a telecentric broad beam, to separate
this beam into thousands of micrometer sized beams and to demagnify the beamlets thus
formed to nanometer dimensions, using projection charged particle optics with 200x reduction.
Only beams which are unaffected by the Blanking Plate are projected to the substrate whereas
beams which are slightly tilted are filtered out at a contrast aperture. Both, electron and ion
multi-beam, proof-of-concept test systems were realized based on this concept. With a 43k-
APS, which provides up to 43-thousand programmable 12.5nm sized beams, complex patterns
were realized in 20 μm × 20 μm exposure fields using 10 keV H3+ ions. For the electron multibeam
test system the beam diameter at the APS was limited to 2 mm, thus realizing 2500
programmable electron beams of 12.5nm size and 50 keV energy. Application fields of
projection electron and ion multi-beam projection techniques are discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The FP7 European project MAGIC [1] aims at designing a multi electron beam machine. In the frame of this project,
LETI evaluates a multibeam tool from MAPPER lithography [2]. Each beam has an acceleration voltage of 5kV. A tool
has been installed in LETI premises in July 2009. In order to prepare its evaluation, preliminary work was performed on
Gaussian beam tools down to 5kV. It aimed at the determination of a stable and robust resist process allowing high
resolution at 5kV. Then those results were used to characterize MAPPER tool performances. Meeting the requirements
of high resolution and low roughness at low voltage, Dow Corningmolecular glass HSQ (hydrogen silsesquioxane)
and MicroChem PMMA (polymethylmethacrylate) were used to test MAPPER tool as negative and positive tone resist
references. We did exposures at beam acceleration voltages from 5 kV up to 100 kV. Different post application bake
(PAB) temperatures were applied to resist. Several developer concentrations were also tested. The impact of those three
parameters on contrast and resolution was checked. Resists chemical characterization was performed with FTIR (Fourier
transform infra red) spectroscopy in order to understand the mechanisms leading to the observed variations of contrast
and exposure dose as process parameters are changed. The main purpose of this work was to show that high resolution
can be achieved at 5kV. First exposures performed with MAPER tool confirmed those results.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Substrate Conformal Imprint Lithography (SCIL™), developed within Philips Research, is a large area
replication technology, which allows flexible nano-imprinting, even around defects. It uses templates (stamps) with a
high modulus poly(dimethyl)siloxane (PDMS) pattern layer bonded onto a glass sheet with a low modulus PDMS
intermediary layer. This template sheet is attached to a grooved vacuum plate. By sequentially pressurizing and
evacuating the grooves, controlled contact with the resist layer and smooth release after resist curing can be established.
The PDMS stamps are cast from a nanostructured silicon wafer, which serves as the template master.
Charged Particle Nanopatterning (CHARPAN) techniques based on ion multi-beam projection techniques,
establish a promising route for generating such nanometer resolution template masters. 2D structures have been written
in the CHARPAN tool using Hydrogen (H3+) ions in a high resolution negative tone e-beam resist, Hydrogen
Silsesquioxane (HSQ). The CHARPAN tool can also be operated with heavier sputter ions (Ar+, Xe+ etc.) enabling
maskless and resistless 3D direct nanopatterning of a silicon template master.
CHARPAN generated 2D and 3D template masters, the PDMS stamps cast from these masters and the resulting
SCIL imprinted structures, show that at least a 20 nm resolution is feasible for this particular combination of
technologies. The combination of CHARPAN and SCIL opens up new possibilities for low cost, fast and flexible 2D and
3D manufacturing of nano-devices in several application fields, e.g. in life sciences related test structures and devices.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In order to utilise both techniques in the best and easiest way, thermal nanoimprint
(T-NIL) and optical/UV lithography (UVL) were combined in a hybrid process to pattern
the same resist layer. Typically, T-NIL as the first step defines the small, submicron
patterns, UVL as the second step the larger patterns, well above 1 μm. The hybrid process
is performed with a conventional Si stamp for imprint and a conventional photomask for
UVL and does not require any special infrastructure. Conventional, commercially available
positive and negative tone resists were used, SU-8 and AR-P 3510. In the case of SU-8, a
chemically amplified negative resist with low glass temperature, the imprint is uncritical
and does not result in any optical degradation. However, the post exposure bake required to
finalise the crosslink reaction has to be adapted and performed as a temperature ramp. In
the case of AR-P 3510 the imprint step is critical, as the photoactive component is
degenerated at suitable imprint temperatures. We found that at temperatures up to 130°C
this degradation can be compensated by an increase of the exposure dose. Though more
critical in processing, the positive tone resist features a better definition of the transition
region between imprinted patterns and UV patterns.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Combined nanoimprint and photolithography (CNP) is an attractive imprint technology for residual layer free
direct patterning of high aspect ratio polymer structures. Employing the chromium hard mask from the imprint
mold etching process as a light blocking metal layer on top of the imprint mold protrusions is an efficient
manufacturing method for CNP molds. The surface chemistry of the mold and in particular of the chromium
layer is crucial for the realization of antisticking layers (ASLs) on suchlike CNP molds. For the reported ASL
coatings, the stripping process of the electron beam resist was very important, especially for fluorinated resists.
We compared an antireflective chromium photomask surface with the standard imprint mold materials Si (native
oxide), SiO2 (thermal oxide) and quartz. Low surface free energies of ~15.3mN/m and ~10.9mN/m were
achieved by chemical vapor deposition of 1H,1H,2H,2H-perfluorodecyltrichlorosilane (FDTS) for the chromium
surface and the natively oxidized Si, respectively. The ASLs were successfully tested on unstructured chromium
imprint molds without sticking problems during imprinting.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.