Poster
22 November 2023 Showcasing EUV process stability and defect control on SCREEN’s DT-3000 track
Author Affiliations +
Conference Poster
Abstract
As technology advances, the need for precise and reliable track systems became crucial to enable high-performance and reliable semiconductor manufacturing. Progressive track development boosts several metrics like defectivity, critical dimension uniformity (CDU), line width roughness (LWR) and pattern shape. In this work, we investigate and improve the defect levels for different EUV resist platforms using SCREEN’s DT-3000 coat-develop track system. Additionally, we showcase the recent advancements on DT-3000 track to improve CD uniformity, a metric that plays a vital role in EUVL as it ensures consistent and precise dimensions printed on the chips. Through an innovative post-exposure bake hotplate design, we introduce a pioneer solution to correct process fingerprints that affect the CD stability across the wafer, thus meeting the challenging demands of advanced generation semiconductor manufacturing.
© (2023) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Elke Caron, Andreia Santos, Wesley Zanders, Jelle Vandereyken, Seonggil Heo, and Masahiko Harumoto "Showcasing EUV process stability and defect control on SCREEN’s DT-3000 track", Proc. SPIE PC12750, International Conference on Extreme Ultraviolet Lithography 2023, PC127500V (22 November 2023); https://doi.org/10.1117/12.2687628
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet

Critical dimension metrology

Extreme ultraviolet lithography

Line width roughness

Semiconductor manufacturing

Metrology

Optical lithography

Back to Top