Open Access
5 June 2023 An Exciting Time to be a Lithographer
Author Affiliations +
Abstract

Editor-in-Chief Harry J. Levinson gives an overview of the dynamic state of lithography and potential new directions the technology could take.

Over the years, there have been many predictions that lithography would soon reach full maturity, leaving lithographers either to watch over the production of products based on legacy process technologies or to move on to more dynamic fields of engineering. However, as I survey submissions to JM3 or watch presentations at lithography conferences, I do not yet see patterning technology losing any of its dynamism. In fact, I think now is a very exhilarating time to be a lithographer, and I anticipate that the excitement will continue for quite some time.

For example, consider the processes that we use for processing photoresists. Spin coating and puddle development have been widely used for decades. While it might be thought that little more could be derived from these mainstay processes, other than incremental improvements to process control and reductions of defects, we have lately seen the introduction of a creative new approach, in this case the ESPERT™ process from Tokyo Electron Ltd. that uses the development process to improve the performance of metal-oxide resists. The metal-oxide resists themselves are a fairly recent innovation, and, at least for some process layers, now appear poised to replace the chemically amplified resists that we have long used to good effect in leading-edge lithography.

Moreover, with this change in resist chemistry, further innovations have been needed to avoid contamination of other processes with tin. Continuing improvements in resists, with the likely introduction of other new platforms, will be needed to extend EUV lithography to sub-10-nm dimensions. Innovation will also be needed to find alternatives to the fluorochemicals found in many lithographic materials or to develop effective methods of abatement, due to the long natural persistence of these chemicals and their impact on health.

The introduction of multibeam mask writers has removed the most apparent obstacle to having curvy features on masks, only to make evident a host of other capabilities that need re-engineering to enable curvy features fully – mask data formats, mask data preparation (MDP), mask rules check (MRC), and design rules check (DRC) – not to mention OPC, inverse lithography technology (ILT), and source-mask optimization (SMO). Having curvy features built into device layouts will require transformations in design-technology co-optimization (DTCO), place-and-route, and parasitic extraction.

Curvy features, which have proven to provide improved process windows in optical lithography, may be an important tool for offsetting the image degradation resulting from mask 3D effects in EUV lithography. The implementation of new mask absorbers to address these mask 3D effects will also require innovation in a number of other areas, including materials development, materials processing, and computational lithography. Multilayers other than Mo/Si are again under consideration, for applications to masks and shorter wavelength EUV technology, representing another major change.

The sizes of features in production have approached 10 nm, and it is expected that even smaller features will soon be patterned. This has generated a significant challenge in metrology. Some of the newest approaches to addressing this challenge can be found in the Special Section on Advances in E-Beam Metrology in the April-June 2023 issue of JM3.

Overlay, which had looser control requirements than critical dimensions for many years, now needs measurement precision to the angstrom level. Because local variation has become the dominant contributor to process variations, very dense samplings of both overlay and critical dimensions are needed, driving concurrent requirements for highly precise measurements and massive data collection. Beyond in-line metrology, other new and innovative metrology techniques are needed to support advanced patterning. For example, the development of lithographic materials requires measurement of material inhomogeneity at the nanometer scale.

These metrology challenges will be compounded by a shift to curvy features. For simple rectangular features, measurement of critical dimensions has always been understood to refer to determination of the rectangle’s narrow dimension, with measurements of line ends considered separately. What is meant by “the dimension” of a curvy feature is much less clear. While the meaning of edge roughness of curvy features might be understood conceptually, methods for addressing measurement bias are less obvious. In metrology alone one can foresee innovation continuing for decades.

In addition to metrology tools, there are many other types of equipment where innovation is needed. This is very apparent when one looks at the extraordinary achievements in optics and mechatronics that were needed to enable the fabrication of high-NA EUV exposure tools and AIMS tools. To contain photon shot noise will require high-power EUV light sources, and providing high power while minimizing the amount of electricity needed is a challenge for engineers. Direct write lithography has been a patterning technology long in the background, but it is now receiving substantially more attention, being driven by considerations of security, applications-specific devices, and advanced packaging. Both electron-beam and optical direct write tools are now available or will be soon. As these tools become widely used it will be interesting to see the various creative ways in which they will be applied.

Once new lithographic technologies are established and put into production, there are still opportunities for innovation. Lithographers in manufacturing have always been working on ways to improve productivity, product quality, and yield. Now we are seeing new innovations, applying a fresh set of tools, such as machine learning. The very high cost of exposure tools for high-NA EUV lithography makes innovation in manufacturing technology more important than ever.

In the papers published in JM3, one can catch glimpses of this exciting new future, even if the whole picture is not yet fully apparent. I look forward to reading every new submission to JM3, each providing an exhilarating look into the future.

© 2023 Society of Photo-Optical Instrumentation Engineers (SPIE)
Harry J. Levinson "An Exciting Time to be a Lithographer," Journal of Micro/Nanopatterning, Materials, and Metrology 22(2), 020101 (5 June 2023). https://doi.org/10.1117/1.JMM.22.2.020101
Published: 5 June 2023
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Lithography

Metrology

3D mask effects

Extreme ultraviolet lithography

Optical lithography

Design rules

Extreme ultraviolet

Back to Top