1.IntroductionHigh-numerical aperture extreme ultraviolet (NA EUV) exposure tools with an NA of 0.55 target a resolution of 8 nm.1 Various resolution enhancement techniques are needed to approach such a target close to the theoretical limit of diffraction-limited imaging. Essential aspects of resolution enhancement techniques for EUV have been discussed in the past.2,3 In addition to standard resolution enhancement techniques already used in DUV4–6 and novel photoresist materials and processes,7,8 several EUV-specific optical resolution enhancement techniques are being investigated. Dedicated asymmetric illumination schemes3,9,10 and novel absorber materials11–14 are employed to compensate for contrast fading, best focus shifts, and other effects caused by light diffraction from 3D masks—“mask 3D effects.” This paper uses various modeling approaches to explore another recently proposed EUV-specific optical resolution enhancement technique. Split pupil exposure, proposed initially as “dual monopole” exposure,15 distributes a single exposure of the wafer into two separate exposures with different parts of the source. Shifting the wafer between these two exposures reduces the contrast fading. Similar to mask shift double exposures for ArF immersion,16 the two exposures are performed with the same mask. The overlay capabilities for multiple exposures were experimentally demonstrated in investigations on EUV vote-taking lithography.17 Currently, this is an early exploratory phase, and the discussion of practical limitations of split pupil exposure strategies due to reduced throughput and required modifications to the scanner exposure sequence are considered to be beyond the scope of this work. Before explaining the split pupil exposure concept and exploring its potential, we emphasize several general aspects of resolution enhancement techniques for EUV. In contrast to the resolution that scales with , the depth of focus (DoF) scales with . This increases the importance of focus and the common focus of multiple features for high-NA systems. High (local) contrast or normalized image log slope (NILS) and high threshold to size (THRS) help in the reduction of line edge roughness (LER) while preserving productivity (throughput).18 Conversely, mask 3D effects can quickly introduce conflicting requirements for achieving high THRS, NILS, and DoF. For example, a thick absorber can improve the contrast—but reduces the THRS. The guiding of light through the openings of absorbers19 helps improve NILS and THRS, but the increased sensitivity of best focus shifts can reduce the DoF of overlapping process windows. Therefore, it is essential to find imaging solutions that provide a high resolution with the best trade-off between achievable NILS, THRS, and DoF. We address this requirement by applying multi-objective optimization techniques; see Sec. 3.4. Section 2 discusses the imaging of a line-space pattern with point dipoles and explains the basic concept of the split pupil exposure strategies. A semi-analytic model helps to understand the relevant imaging mechanisms. Afterward, we apply the split pupil exposure to a regular array of contact holes on a dark field mask. A multi-objective optimization approach helps to identify general trends and specific solutions. Analysis of the near fields of the light reflected from the mask for these particular solutions provides further insights into the imaging mechanisms of split pupil exposures. The remaining sections discuss the application of split pupil exposures to pillars on light field masks (Sec. 4), to other absorber materials and source fillings (Sec. 5), and to smaller target sizes (Sec. 6). The paper finishes with a summary and discussion of directions for future work. Parts of the manuscript were previously published as SPIE proceedings.20 2.Split Pupil Exposure (SP) for Line-Space PatternsIn 2022, Joern-Holger Franke et al.15 proposed a split pupil exposure as a novel approach to compensate for image blur due to 3D mask effects in line-space patterns. The basic concept of this approach is shown in Fig. 1. We consider the imaging of a line-space pattern. For pitches close to the resolution limit, the image is created by the interference of two plane waves, the 0th and one of the first ( or ) diffraction orders. The direction of these diffraction orders depends on the illumination direction , the wavelength , and the pitch . The telecentric setting specifies a distinct illumination in which the two diffraction orders are placed symmetrically within the projection pupil. This situation is shown in the schematics in Fig. 1(a). The superposition of the 0th and (left pole) and of the 0th and (right pole) results in sinusoidal two-beam interference patterns with the constant position of the minima and maxima along the focus position of the system—see the third row in Fig. 1(c). Representative image cross-sections for illuminations with the left/right poles and with both poles (total) at zero focus are presented in Fig. 1(b). The cross-sections in the upper part of Fig. 1(b) are obtained for a constant -position of the wafer. The notable shift between the sinusoidal interference pattern of the left and right poles is caused by mask 3D effects. Simultaneous illumination with both poles and superposition of the interference patterns produces a blurred image with reduced contrast.21 The contrast loss of the total image compared with the single pole images is determined by the contrast fading factor :22 Split pupil exposure compensates for the image blur by shifting the wafer between the exposures with the left and right poles, respectively. For an optimum shift of the wafer, the image cross-sections obtained by the left, right, and both poles are identical—see bottom of Fig. 1(b). This statement applies to vertical line-space patterns at the slit center. For horizontal lines, the single-pole images are different from each other in intensity. The wafer shift can align the images but not compensate for the different intensities. The optimum wafer shift is given by the image shift between the left/right poles and depends on the thickness and optical properties of the mask absorber.23 Due to the cosine dependency of the fading factor in Eq. (1), small deviations from the optimum wafer shift for other pitches or illumination directions do not significantly impact the contrast improvement obtained by split pupil exposures. Figure 1(c) presents through-focus images of the left and right poles and the pole pair (total) for different illumination directions . The value of increases with the distance of the illumination points from the center of the pupil, which is mapped on the chief ray angle at object (CRAO); see pupil diagrams in the left column of Fig. 1(c). The third row shows the telecentric setting with and a constant interference pattern along the focus. Increasing the distance of the illumination direction from the telecentric location causes a more pronounced and opposite tilt of the interference patterns for the left and right poles, respectively. Superposition of the oppositely tilted interference patterns introduces a pronounced variation of the image contrast versus focus position. Larger values of cause periodic repetition of the image cross-section along the focus direction; see the bottom row of Fig. 1(c). Analytic expressions for the superposition of the two tilted interference patterns are discussed below. Another important observation from Fig. 1(c) is that the best focus position with the highest contrast is not at the nominal focus (0) but is shifted toward positive or negative values, depending on the position of with respect to the telecentric setting (indicated by the vertical blue dashed lines in the pupil diagrams on the left of Fig. 1(c). Following Martin Burkhardt,24 the intensity distribution obtained by superposition of two 2-beam interference patterns of the left and right poles, respectively, is described as where and represent the amplitude and phase of the 0th and order diffracted light from the mask, respectively. These values can be computed by rigorous electromagnetic field simulation using a physical lithography simulation tool such as Dr. LiTHO.25 The components of the propagation vector depend on the propagation direction of the diffraction orders . Although Eq. (2) describes the image for small pitches and symmetric illumination by two-point poles only, it provides interesting insights into the image formation.26 The amount of image blur in the nominal focus plane at is governed by the phase shift between the 0th and orders . Kirchhoff-type mask models assume infinitely thin absorbers with identical phases of diffraction orders and cannot predict image blur for real 3D masks.The directions of the diffraction orders depend on the illumination direction and are given as The distance between focal planes with identical image cross-sections, see bottom right of Fig. 1(c), is given as provides a measure of the DoF. For an illumination from the telecentric direction , the denominator of Eq. (3) becomes zero, corresponding to an infinite DoF. The DoF decreases with the distance of the source point from the telecentric setting.A trade-off between the DoF of the involved pitches must be made for multiple pitches. In general, the largest DoF of overlapping process windows for multiple (small) pitches can be found using an illumination direction between the telecentric location of the smallest and largest pitches.26 This discussion is limited to small pitches, where only two diffraction orders are involved in the image formation. Figure 2 presents through-focus image plots of split pupil exposures for two involved pitches. The images for both pitches are created by two diffraction orders and described by Eq. (2). An illumination direction between the telecentric locations of pitches 16 nm and 24 nm causes a limited DoF for both pitches. The best focus position for the individual pitches is given by the focus that produces the largest contrast. Without wafer shift [Fig. 2(a)], the best focus positions of the two pitches are shifted in opposite directions to the nominal focus position at zero. With an optimum wafer shift of 4.5 nm between exposures, the best focus positions of the two pitches are well-aligned and close to the nominal focus. The smaller optimum wafer shift compared with Fig. 1 can be mainly attributed to the smaller absorber thickness of the absorber used in the computation of the images in Fig. 2. This discussion of Eq. (2) and through-focus images for individual source points or pairs of source points helps to understand the physical imaging mechanisms of split pupil exposures. Real-world lithography systems employ partial coherent illuminations with different shapes of sources and finite source filling. The variation of the interference patterns tilt for different source points inside a finite-size pole blurs the images at large defocus and limits the achievable DoF. The DoF generally drops with an increased range of illumination angles inside an illumination pole.27,28 Comprehensive simulation studies for different pitch combinations, mask absorber materials, and source fillings have demonstrated that split pupil exposures enable not only a mitigation of the image blur but also a compensation of best focus shifts between different pitches. The best imaging performance was achieved for a combination of split pupil exposures with absorbers.23 The benefits of split pupil experiments were also demonstrated by experimental wafer data obtained with an NA = 0.33 system.22 3.Split Pupil Exposures for Regular Arrays of Contacts on Dark Field MasksThis section presents the application of split pupil exposures (SPs) to regular arrays of contact holes on a dark field mask. First, we investigate the variation of typical lithographic image metrics versus the illumination direction of a single source point. The resulting pupil plots in Sec. 3.1 help to devise proper illumination shapes and splitting strategies. Section 3.2 presents the results of multi-objective optimization runs, which were performed to identify the most appropriate mask and illumination settings. Analysis of the Pareto data enables a general comparison of the achievable performance of split pupil exposure (SP) and single exposure (SE). The investigation of reflected near fields for typical solutions from the Pareto frontier in Sec. 3.3 helps to understand the root causes of the improved imaging performance for split pupil exposures. The final part of this section compares the achievable results for different absorber materials and provides a general discussion of physical contributors to improved imaging performance for split pupil exposures. We consider 11 nm target contacts with a pitch of 22 nm along the - and -directions to be imaged with the typical settings of a high NA EUV system: NA = 0.55, , CRAO = 5.355 deg, 20% obscuration, unpolarized light, and slit center. The mask consists of a single absorber layer on top of a Mo/Si multilayer (40 bilayers: 4.1 nm Si and 3.0 nm Mo, no intermixing). Most of our simulations were performed for TaBN absorbers (, ) or a typical absorber (, ). To print round contacts, we include a flexible bias along and : All values are given on a wafer scale. The used source shapes are discussed in the following subsection. 3.1.Pupil Plots of Lithographic Image MetricsTo support analysis and understanding of the dependency of image metrics from the illumination direction and to identify proper source shapes and split strategies, we computed pupil plots, as shown in Fig. 3(a). The data in this figure are obtained by a computation of images for single-source points versus the pupil coordinate of the source point. The THRS was extracted for an -parallel cut through the center of the contact hole (the point with the largest image intensity). The given NILS specifies the minimum NILS value for arbitrary orientation of cuts through the center of the contact hole. Relevant image metrics can be only extracted for illumination directions, which generate three or more diffraction orders inside the NA of the projection lens. The THRS, NILS, and position shifts along and (, ) are set to zero for other illumination directions with less than three orders. The symmetry properties of the pupil plots can be understood by considering the tilt of the chief ray angle at an object (CRAO) in the -direction. Sign changes in the illumination direction perpendicular to the tilt of the CRAO result in an incidence symmetric with respect to the normal. Consequently, the only lithometric that changes is the image placement, , and all other lithometrics remain unchanged. Sign changes of along the tilt of the CRAO result in a large change in the incidence angle and by consequence of the diffraction efficiencies. In this case, the image placement, , THRS, and NILS are impacted. The largest values of THRS and NILS can be found in the diamond-shaped areas, where four diffraction orders contribute to the image formation. The centers of the diamond shape are located at the telecentric settings, where the four diffraction orders are placed symmetrically inside the pupil NA:6 The remaining areas of the pupil plots with non-zero values of THRS indicate illumination directions, which generate three diffraction orders inside the NA. The values of THRS and NILS in these three-beam interference areas are smaller than in the four-beam interference areas. Although less pronounced, the lithography metrics vary inside the three-beam and four-beam interference areas as well. The specific absorber thickness and mask bias values are taken from one of the settings on the Pareto frontier in Sec. 3.2. Similar plots for other parameter combinations from the Pareto data provide different values of resulting imaging metrics but exhibit qualitatively similar variation versus the pupil location (, ). The largest variation of lithography metrics can be seen for the position shift of the printed contacts along the -direction. This substantial variation of image placements can be attributed to the large angular spread of the anamorphic high NA system with a reduction of 4× in the -direction. The image position of contact holes illuminated from the left () is pushed about 3 to 4 nm to the right. Images obtained with right pole illumination () are pushed about 3 to 4 nm to the left. Although a distinct shift of the image position between the upper () and lower () illumination can be observed as well, the smaller angular spread of the anamorphic system in the -direction with a reduction of 8× makes this effect less prominent compared with the position shift in the -direction. The observations from Fig. 3(a) suggest a symmetric split of the pupil to a left and right part, respectively. Other mask layouts such as horizontal L/S and tip-to-tip configuration may require asymmetrical pupil splits. Illuminations in real systems are not done with single-source points but from a distinct set of pixels that fill a specific area of the source pupil. If not mentioned otherwise, the investigations in this paper are performed for a target pupil-fill ratio of 20%. To enable a smooth implementation of parametric source shapes with a distinct fill ratio in our source-mask optimization, we represent the source by four symmetric elliptic poles with a distance from the pupil center, orientation, and aspect ratio of the ellipse. Figures 3(b) and 3(c) show typical quadrupoles for SE and split quadrupoles for SP, respectively. 3.2.Exploration of Parameter Space by Pareto OptimizationThe achievable lithographic performance of single and split pupil exposures depends on the material and thickness of the mask absorber, multilayer parameters, mask bias in the - and -directions, focus position, source parameters, and wafer shift between the two exposures. Even if we fix the absorber material and the multilayer, six or seven parameters must be varied to identify the best printing conditions. Moreover, we must consider several objectives: large contrast (NILS), large THRS, large DoF, and round contact shape. These objectives are evaluated as follows: the THRS is measured along an -parallel cut through the point with the highest intensity in the computed aerial image (center of contact). Afterward, the diameter of the printed contact or critical dimension (CD) is measured for a representative set of cut directions with an orientation through the center of the contact: and . From these data, we extract the minimum local contrast and size uniformity as representative metrics. Finally, the best focus (BF) position with the largest NILS versus focus is extracted. For the investigations in this work, we combined the requirements for NILS and THRS to a single objective nilsE, which can be considered to be a measure of the efficient use of light to achieve a high NILS: We employ a multi-objective genetic optimization algorithm to identify the most appropriate solutions and tendencies in a high-dimensional parameter space.29 Such vector optimization or Pareto optimization involves simultaneously optimizing multiple, sometimes conflicting, objectives without assigning specific weights to individual objectives. In general, the solution of such a multi-objective optimization problem is not a single but a set of solutions, or Pareto frontier, which provides different trade-offs between the individual objectives. The following parameters are varied within the given ranges:
The large ranges of the mask bias were chosen to explore the ultimate imaging limit, independently of specific mask rules. An additional condition for the source parameters was to provide a source filling of at least 20% for SE and of 10% for the individual split pupil exposures with the left and right poles. The scatter plot or Pareto frontier on the left of Fig. 4 presents the optimization results for a single exposure. All data points in this plot represent a Pareto optimal solution or combination of source and mask parameters with the achievable nilsE and DoF according to their position in the scatter plot. All other combinations of source and mask parameters, which are not shown in the plot, can only provide combinations of nilsE and DoF values on the lower left of the shown Pareto frontier. The Pareto data show a distinct trade-off between achievable nilsE and DoF. This trade-off also becomes visible in the detailed analysis of selected parameter combinations from the Pareto frontier on the right of Fig. 4. It shows specific mask parameters and a plot of the source shape, aerial image, THRS, , DoF, and overlapped process windows for cross-section cuts along the -axis, diagonal, and -axis, respectively. The high nilsE solution in the upper row is achieved with a large mask bias, 40-nm-thick absorber, and poles with a center close to the telecentric setting. Solutions with a larger DoF in the middle and lower rows are obtained for slightly smaller mask , about 7 nm thicker absorber, and illumination poles pushed toward larger . Additional analysis of the data from the Pareto frontier suggests that the trade-off between high nilsE and high DoF can be controlled by the position of the source pole . The split pupil exposure provides additional freedom for optimization. Figure 5 shows the significant impact of the flexible wafer shift between the exposure with the left and right poles on the Pareto frontier. The shift values in Fig. 5 and other figures with SP results refer to the total wafer shift between the two exposures. The achievable nilsE for SP improves by more than 20% compared with SE. The split pupil exposure provides higher NILS values (as expected from the compensation of image blur), significantly higher THRS, and slightly better DoF than SE. Single exposures come with a significant image blur in the -direction. A larger mask bias in increases the separation between the two sub-images of the left and right poles in SE and the resulting image blur. This limits the mask biasing along and results in significant asymmetries of the optimum mask biasing in and . The wafer shift in SP enables a larger mask bias in the -direction without image blur (due to shifted images of individual poles) and more symmetric mask biasing in and compared with SE. Moreover, the solutions from the Pareto frontier of SP show a tendency toward more pronounced off-axis solutions (larger ). A proper wafer shift compensates for the increased image shifts for larger values of ; see Fig. 3. 3.3.Understanding of Root Causes by Near Field AnalysisTo understand the origin of the improved performance of SP compared with SE, we computed the intensity of reflected near fields and aerial images of individual and superposed poles, as shown in Fig. 6. For a more convenient observation and discussion of the effects, we fixed the wafer position and the coordinate system, where the near fields and aerial images are presented. The impact of such a procedure on the imaging performance is identical to that of a shifted wafer and fixed mask. The near-field plots for illumination with the individual poles on the left demonstrate how the reflected light is pushed to the location of the opening opposite the direction of illumination. Such characteristic behavior of the reflected near field was already observed for line-space patterns.12 The sum of the reflected near-field intensities of the four poles in the next column can indicate the expected image characteristics in the far field or aerial image, respectively. The superposition of the four poles without a shift in the upper column suggests a blurred image. The superposition of the near field with a shift in the middle and lower rows of Fig. 6 generates an intensity distribution with a much better localization of the near field around the center of the target. The characteristic behavior in the superposition of the near-field intensities can also be observed in the aerial images obtained with the individual poles and all involved poles. In the case of the single exposure in the upper row, the aerial images obtained with the left poles are shifted to the right, whereas the images obtained by the right poles are pushed to the left. The superposition of the four partial images renders a slightly blurred image. Applying a split pupil exposure and optimized shift with the same mask and source parameters (middle row) aligns the localization of the aerial images obtained with the individual poles and improves the contrast of the total image. However, the process windows for the different cross-section orientations are not perfectly aligned. In other words, the printed contact is not perfectly round. The DoF is reduced compared with SE, and THRS is almost unaffected. The best results are obtained in the lower row, which includes a specific optical proximity correction (OPC) for the split pupil exposure. This specific OPC enables wider openings on the mask, brighter near field and image intensities, and a better overlap of the process windows for different cut directions and higher THRS. 3.4.Impact of Absorber Properties, Mask Biasing, and Source FillingOptimization runs similar to those in Sec. 3.4 were been performed for different mask material options, minimum source filling, target sizes, and pitches. Figure 7 compares the achievable performance of the presently used TaBN absorber with absorbers. The combination of split pupil exposure with absorbers exhibits the best performance, followed by SP with a TaBN absorber. Single exposures using and TaBN suffer from a significantly lower nilsE. This drop in nilsE is caused by the contrast fading due to the superposition of individual pole images with different placements. The lower flexibility of the OPC without the option of a wafer shift due to the limitation of mask biasing in by image blur contributes to the smaller nilsE of SE compared with SP as well. Single exposure with the TaBN absorber performs poorly compared with other options but achieves an and a DoF of 75 nm. It is important to note that these statements on the expected performance of different mask materials and exposure options are independent of specific assumptions on the optimization strategy for the mask bias, absorber thickness, etc. Further aspects of the impact of split pupil exposures on the performance gain for different absorber materials are discussed in Sec. 5. The parameter settings, aerial images, process windows, and extracted lithography metrics data on the right of Fig. 7 indicate other interesting tendencies, especially the significantly larger mask bias and corresponding threshold-to-size values. These tendencies are confirmed by the alternative plots of the Pareto data in Fig. 8. These plots reveal additional interesting trends. The increase of THRS versus in the upper left follows our expectations and can be observed for all combinations of absorber materials and exposure options. The values of split pupil exposures are significantly larger than those of single exposures. The bias in the -direction has a significant impact on the NILS as well. Smaller bias values provide better balancing of diffraction orders and higher NILS. absorbers enable higher NILS values than TaBN absorbers. The effect of the bias on DoF is negligible. The difference between the bias in the - and -directions in the second column of Fig. 8 indicates a significant impact of the exposure strategy on the shape of the contact after OPC. To limit the image shift between the left- and right poles for SE, the width of the contact (extension in ) is smaller than the height (extension in ), resulting in a positive . By contrast, SP enables wider contacts with lower heights (negative values of ). See also the discussion of the symmetry of the mask biasing in the last paragraph of Sec. 3.2. The notable impact of the exposure strategy on the optimum absorber thickness, especially for the absorber, is less intuitive. Detailed analysis shows that this behavior can be attributed to contrast reversed double images created by light reflected from the top surface of the multilayer and the top surface of the absorber, respectively.30 absorbers with a larger refractive index contrast with respect to vacuum reflect more light from the top absorber surface and are more sensitive to thickness variation. Although these images have very different intensities, the total images are created by the interference of the reflected light from both interfaces. This interference effect depends on the amplitudes and causes the well-known swing behavior of all lithography metrics versus the absorber thickness. The image resulting from the top absorber reflection is not impacted by shadowing effects or position shifts between the left and right poles. Therefore, the absorber thickness for the single exposure is optimized to provide a high light reflectivity from the top of the absorber. The introduction of wafer shifts in SP causes a shift between the “top absorber images” for the left and right poles of the illumination. Therefore, the absorber thickness for split exposure is optimized to minimize the reflectivity of light from the top of the absorber. The two-point clouds in the SE case around thickness values of 41 nm and 48 nm indicate a similar lithography performance for these thickness values. In contrast to this, SP exhibits a more distinct optimum at an absorber thickness of around 45 nm. The most important impact of the illumination can be observed in the depth of focus (DoF). The DoF generally increases with more pronounced off-axis illumination or larger . The values of for SE are restricted to the range below 0.79 (telecentric setting for pitch 22 nm). Larger values of cause too large an image shift between the left and right poles and significant contrast fading for SE. A proper wafer shift compensates for the contrast fading for SP and enables larger values of with larger DoF. Additional simulations in Sec. 5 demonstrate that the achievable DoF can be further increased for smaller source filling. The presented analysis demonstrates that the improved performance of SP compared with SE can be attributed to several effects. The typical magnitude of these effects on the achievable nilsE of a absorber is compared in Fig. 9. The reference value is obtained for a single exposure and optimization of the mask biasing, illumination, and absorber thickness. Using the same mask in a split pupil exposure with optimized wafer shift provides about 10.5% improvement (SP1). Optimizing the mask biasing and illumination specifically for the split pupil exposure but keeping the optimized absorber thickness from the single exposure provides another improvement of about 13% (SP2). An additional gain of about 3% can be obtained by dedicated optimization of mask biasing, illumination, and absorber thickness (SP3). 4.Split Pupil Exposures for Regular Arrays of Pillars on Light Field MasksThis section investigates split pupil exposures for regular arrays of pillars on light field (LF) masks. As in the case of the dark field mask, we present pupil plots of image metrics, discuss the results of multi-objective optimization, and explore the root causes of our investigations by plotting the near field of the reflected light for different illumination directions. The pupil plots in Fig. 10 for a LF mask with 11 nm square pillars in 22 nm pitch are obtained by the procedure described in Sec. 3.1 for the DF mask. Because the direction of the diffraction orders does not depend on the tonality of a mask, the four-beam and three-beam interference areas with non-zero contrast are identical between DF and LF. However, the different intensity and phase of the diffracted light in these orders result in different values of NILS, THRS, and position shifts. First, let us focus on the position shift along the -direction in the four-beam interference area around the telecentric setting with the highest NILS values. The magnitudes of the position shift in these areas are much smaller than that of the dark field masks in Fig. 3. The position shifts in the three-beam interference pupil areas are larger than those of the four-beam interference areas, but are still small compared with the largest position shifts of the DF mask. The position shifts along the -direction are similar to that of the DF mask. These findings and the near field plots in Fig. 12 suggest that small dark features on LF masks experience less image blur than small bright features on DF masks. The comparison of the Pareto data of LF masks using different exposure options and mask materials in Fig. 11 with the corresponding data of DF masks in Fig. 7 shows the important impact of the mask tonality. The lower number of points on the Pareto frontier of the LF mask compared with the DF mask can be attributed to less variation of the optimum source for the LF mask. In contrast to DF, split pupil exposures provide only small performance improvement for pillars/LF. The SP and absorber combination enables the best performance for DF and LF. In general, contacts/DF with split pupil exposure achieve the ultimate best performance for nilsE/LCDU. The advantage of the best DF solutions compared with LF masks is mainly driven by the more favorable biasing with large openings on the mask and large values of THRS. The best DF and LF achieve similar values of . To understand the origin of the less pronounced performance gain for LF compared with that for DF, we investigate the intensity of reflected near fields and aerial images of individual and superposed poles as shown in Fig. 12. The near-field plots for illumination with the individual poles on the left demonstrate how the reflected light is scattered away from the pillar with a small intensity peak on the illuminated side. The sum of the reflected near-field intensities of the four poles in the next column indicates the expected image characteristics in the far field or aerial image, respectively. Because of the lower refractive index, the inner part of the pillar remains completely dark. It is surrounded by a bright area with a moderate variation of the reflected light intensity. The aerial images obtained with the individual poles are elongated along the diagonal direction. This asymmetry results from the dominating intensity of the zero diffraction order. The centers of the individual pole images do not exhibit a significant shift between the individual poles. The superposition of the four pole images generates a round image with high contrast. The near-field plots and images in the lower row are obtained for an optimized wafer shift and a specific optical proximity correction (OPC) for the split pupil exposure. In contrast to DF, no significant mask biasing is possible without losing the image contrast of the individual pole images. The process windows and image metrics on the right show only a small advantage of SP compared with SE. 5.Performance Gain of SP for Different Absorber Materials and Source FillingThe methods for investigating split pupil exposures were applied to different source and material options, targets/pitches, and hexagonal arrays of holes and pillars. This section summarizes a few representative observations from a comprehensive simulation study. Similar to the L/S example in Fig. 1, the illumination with a point pole at the telecentric setting results in an infinite depth of focus (DoF). According to Eq. (5), square arrays of contact holes and pillars are characterized by four telecentric illumination directions. The illumination of a periodic mask with an increased range of illumination directions decreases the DoF.27 The variation of the image shift versus the illumination direction in Fig. 3 introduces a (focus-dependent) image blur and an additional limitation of the usable DoF. Figure 13 presents computed Pareto data for SE and SP of 11 nm target contact holes on a dark field mask versus the amount of source filling. Three different absorber options are considered. In addition to the TaBN and absorber, an absorber material with variable refractive index and extinction is included. A distinct trade-off between achievable nilsE and DoF can be observed for all simulated cases. Both nilsE and DoF increase for smaller source fill. SP and SE offer approximately the same DoF, almost identical for all considered material options. However, SP achieves significantly larger values of nilsE than SE, especially for the parameter combinations with the largest DoF. The achievable nilsE depends on the absorber material. As expected, the largest nilsE can be obtained for SP with variable optical material properties, i.e., a refractive index and extinction at the minimum of the specified parameter ranges. A with a realistic combination of optical constants (, ) achieves almost the same performance. The increased extinction and less pronounced guidance of light cause a significant drop in the achievable nilsE for TaBN compared with low- absorber materials. Next, we demonstrate that applying split pupil exposures can impact the selection of the most appropriate absorber material. Due to the low extinction coefficient and supported by the guidance of light through the absorber openings, materials enable the most efficient use of light and the highest threshold-to-size (THRS) compared with other absorber materials. High contrast/NILS and DoF require an appropriate balancing of the intensity of diffraction orders and control of the relative phase of these orders, which are impacted by the extinction and thickness of the absorber as well. Figure 14 compares the Pareto data of and absorbers for SE and SP, respectively. The scatter plots in Fig. 14 suggest that the most appropriate absorber material for SE depends on the use case. For DF masks, both versions of absorbers provide similar nilsE values. However, the absorber offers a better DoF. Pillars exhibit different characteristics with superior nilsE of absorbers. A similar use-case-dependent behavior was observed for L/S and hexagonal arrays as well. DF masks with absorbers are more sensitive concerning best focus shifts and reduced DoF. In the case of split pupil exposures absorbers consistently outperform their counterpart. The shift of the wafer between the exposures with different parts of the source introduces an additional degree of freedom in optimizing the intensity and phase of diffraction orders. Phase differences between distinct diffraction orders, which cause intolerable image shifts and contrast fading for SE, can be tolerated and compensated by wafer shifts in SP. Additional simulations for hexagonal arrays and for L/S at multiple pitches, which are not shown in this paper, demonstrated the favorable synergy between SP and absorber materials for other use cases as well. 6.Pushing the Limits: 10 nm Square Contacts with a Pitch of 20 nmThe final example of this paper compares the performance of LF and DF masks using the absorber from Sec. 3 for a target of 10 nm and a pitch of 20 nm; see Fig. 15. Again, the best performance is achieved by the dark field mask exposed with SP. This is followed by the light field mask, which only has minor differences between SE and SP. The lithography performance of the mask with a single exposure is significantly worse than the other options. 7.Conclusions and OutlookThe systematic investigations in this paper exemplify the potential imaging benefit of split pupil exposures (SPs) for 2D features, e.g., arrays of contact holes, which might be used as a basis for future pupil and wavefront engineering applications. The achievable gain compared with single exposure (SE) depends on tonality, source filling, absorber material, and target size. For the investigated use case of 11 nm target square contacts with a pitch of 22 nm, an improvement of nilsE by more than 20% for dark field masks is observed. For light-field masks with the same target size, the nilsE improves only by a few %. Near-field analysis of the reflected light explains the significant impact of the mask tonality on the imaging mechanisms for split pupil exposures. Our simulations demonstrate the benefit of a lower pupil fill ratio for gain in both DoF and nilsE. The application of split pupil exposures has a significant impact on SMO. It affects OPC (biasing) and optimum source shape and may modify the optimum absorber thickness. Multi-objective optimization techniques help to explore the multi-dimensional parameter space and identify the best solutions and trade-off relationships. Split pupil exposures can provide a promising addition to the toolbox of resolution enhancement techniques for low- high-NA and hyper-NA31 EUV lithography. It adds a degree of freedom for SMO and can unleash the full potential of absorbers. The combination of absorbers, split pupil exposures, and multi-objective SMO enables the identification of the best imaging solutions and pushes low- high-NA imaging to its ultimate limits. More investigations are needed to understand and optimize the impact of split pupil exposures for more complex mask layouts. Future research directions include exploring a split pupil-aware inverse lithography technology (ILT). Code and Data AvailabilityFraunhofer and ASML proprietary information will not be made available, but the manuscript content is consistent with JM3 technical content guidelines. ReferencesJ. V. Schoot et al.,
“High-NA EUV lithography exposure tool: advantages and program progress,”
Proc. SPIE, 11517 1151712 https://doi.org/10.1117/12.2572932 PSISDG 0277-786X
(2021).
Google Scholar
S. Hsu et al.,
“EUV resolution enhancement techniques (RET) for k1 0.4 and below,”
Proc. SPIE, 9422 94221I https://doi.org/10.1117/12.2086074 PSISDG 0277-786X
(2015).
Google Scholar
J. Finders and C. Wagner,
“Imaging enhancement (low k1 imaging) in EUV lithography: current status and future resolution enhancement techniques,”
Proc. SPIE, 11609 1160909 https://doi.org/10.1117/12.2584805 PSISDG 0277-786X
(2021).
Google Scholar
A. K.-K. Wong, Resolution enhancement techniques in optical lithography, SPIE Press, Bellingham, Washington
(2001). Google Scholar
E. van Setten et al.,
“Pushing the boundary: low-k1 extension by polarized illumination,”
Proc. SPIE, 6520
(65200C), https://doi.org/10.1117/12.713873 PSISDG 0277-786X
(2007).
Google Scholar
A. Erdmann, Optical and EUV lithography: a modeling perspective, SPIE Press, Bellingham, Washington
(2021). Google Scholar
D. D. Simone, Y. Vesters and G. Vandenberghe,
“Photoresists in extreme ultraviolet lithography (EUVL),”
Adv. Opt. Technol., 6 163
–172 https://doi.org/10.1515/aot-2017-0021 1687-6393
(2017).
Google Scholar
T. Manouras and P. Argitis,
“High sensitivity resists for EUV lithography: a review of material design strategies and performance results,”
Nanomaterials, 10 1593 https://doi.org/10.3390/nano10081593
(2020).
Google Scholar
T. Last et al.,
“N7 dark field two-bar in 0.33NA EUVL: mitigation of CD Bossung tilts caused by strong coupling between the feature’s primary and 1st self-image,”
Proc. SPIE, 10143 1014311 https://doi.org/10.1117/12.2257463 PSISDG 0277-786X
(2017).
Google Scholar
J.-H. Franke et al.,
“Reducing systematic LCDU of dense contact hole arrays on wafer via source optimization,”
Proc. SPIE, 12915 1291508 https://doi.org/10.1117/12.2685814 PSISDG 0277-786X
(2023).
Google Scholar
V. Philipsen et al.,
“Novel EUV mask absorber evaluation in support of next-generation EUV imaging,”
Proc. SPIE, 10810 108100C https://doi.org/10.1117/12.2501799 PSISDG 0277-786X
(2018).
Google Scholar
A. Erdmann et al.,
“Perspectives and tradeoffs of novel absorber materials for high NA EUV lithography,”
J. Micro/Nanolithogr. MEMS MOEMS, 19 041001 https://doi.org/10.1117/1.JMM.19.4.041001
(2020).
Google Scholar
C. van Lare et al.,
“Investigation into a prototype extreme ultraviolet low-n attenuated phase-shift mask,”
J. Micro/Nanopatterning Mater. Metrol., 20 1
–11 https://doi.org/10.1117/1.JMM.20.2.021006
(2021).
Google Scholar
D. Thakare et al.,
“Ru/Ta bilayer approach to EUV mask absorbers: Experimental patterning and simulated imaging perspective,”
Micro Nano Eng., 20 100223 https://doi.org/10.1016/j.mne.2023.100223
(2023).
Google Scholar
J.-H. Franke, T. Brunner and E. Hendrickx,
“Dual monopole exposure strategy to improve EUV imagery,”
J. Micro/Nanopatterning Mater. Metrol., 21 030501 https://doi.org/10.1117/1.JMM.21.3.030501
(2022).
Google Scholar
J. Song et al.,
“19-nm critical dimension process using mask shift double exposure in ArF immersion,”
J. Micro/Nanopatterning Mater. Metrol., 24 014801 https://doi.org/10.1117/1.JMM.23.1.014801
(2024).
Google Scholar
J. Bekaert et al.,
“EUV vote-taking lithography for mitigation of printing mask defects, CDU improvement, and stochastic failure reduction,”
J. Micro/Nanolithogr. MEMS MOEMS, 17 041013 https://doi.org/10.1117/1.JMM.17.4.041013
(2018).
Google Scholar
J. G. Santaclara et al.,
“One metric to rule them all: new k4 definition for photoresist characterization,”
Proc. SPIE, 11323 113231A https://doi.org/10.1117/12.2554493 PSISDG 0277-786X
(2020).
Google Scholar
H. M. S. Mesilhy et al.,
“Investigation of waveguide modes in EUV mask absorbers,”
J. Micro/Nanopatterning Mater. Metrol., 20 1
–18 https://doi.org/10.1117/1.JMM.20.2.021004
(2021).
Google Scholar
A. Erdmann et al.,
“Exploring the limits of high contrast contact imaging using split pupil exposures in high-NA EUV lithography,”
Proc. SPIE, 12953 1295302 https://doi.org/10.1117/12.3009996 PSISDG 0277-786X
(2024).
Google Scholar
S.-S. Yu et al.,
“Limitation of OAI + AttPSM in EUVL,”
Proc. SPIE, 8679 86791L https://doi.org/10.1117/12.2010943 PSISDG 0277-786X
(2013).
Google Scholar
T. A. Brunner et al.,
“Validation of imaging benefits of dual monopole exposures,”
Proc. SPIE, 12750 1275006 https://doi.org/10.1117/12.2685543 PSISDG 0277-786X
(2023).
Google Scholar
A. Erdmann et al.,
“Exploration of imaging solutions for high NA EUV lithography by combination of dual monopole exposure strategies with low-n absorbers,”
in Eur. Mask and Lithogr. Conf. (EMLC),
(2023). Google Scholar
M. Burkhardt et al.,
“Focus considerations of design pitches and absorber choice for EUV random logic,”
Proc. SPIE, 12051 120510C https://doi.org/10.1117/12.2614296 PSISDG 0277-786X
(2022).
Google Scholar
T. Fuehner et al.,
“Dr.LiTHO: a development and research lithography simulator,”
Proc. SPIE, 6520 65203F https://doi.org/10.1117/12.709535 PSISDG 0277-786X
(2007).
Google Scholar
A. Erdmann et al.,
“Imaging performance of low-n absorbers at the optical resolution limits of high NA EUV systems,”
Proc. SPIE, PC12915 PC129150F https://doi.org/10.1117/12.3012444 PSISDG 0277-786X
(2023).
Google Scholar
A. Yen,
“Three-dimensional aerial images of periodic patterns and the depth of focus for lines-and-spaces patterns,”
J. Micro/Nanopatterning Mater. Metrol., 22 020501 https://doi.org/10.1117/1.JMM.22.2.020501
(2023).
Google Scholar
P. D. Bisschop, Modern optical lithography for semiconductor patterning, SPIE Press, Bellingham, Washington Google Scholar
T. Fuehner,
“Artificial evolution for the optimization of lithographic process conditions,”
(2013).
Google Scholar
A. Erdmann et al.,
“Characterization and mitigation of 3D mask effects in extreme ultraviolet lithography,”
Adv. Opt. Technol., 6 187
–201 https://doi.org/10.1515/aot-2017-0019 1687-6393
(2017).
Google Scholar
I. Lee et al.,
“Hyper NA EUV lithography: an imaging perspective,”
J. Micro/Nanopatterning Mater. Metrol., 22 043202 https://doi.org/10.1117/1.JMM.22.4.043202
(2023).
Google Scholar
BiographyAndreas Erdmann is the head of the Fraunhofer IISB Computational Lithography and Optics Group and teaches as “Privatdozent” at the University of Erlangen. He has more than 25 years of experience in optical and EUV lithography. He chaired several SPIE conferences and is an organizer of the International Fraunhofer Lithography Simulation Workshop. He contributed to the development of several advanced lithography simulators, including the development and research lithography simulator Dr. LiTHO. He is a fellow of SPIE. Hazem Mesilhy is a PhD student at Fraunhofer IISB in the Computational Lithography and Optics Group. He received his bachelor’s degree from Cairo University-Faculty of Engineering, Electronics and Electrical Communication Department and his master’s degree in advanced optical technologies from Friedrich-Alexander University Erlangen-Nurnberg.. His research domain involves the simulation of the lithographic process and the optimization of the process parameters using multiobjective optimization techniques. He is now working at Huawei, Germany. Peter Evanschitzky studied electrical engineering at Saarland University, Germany. He received his PhD in optical surface measurement techniques from the Technical University of Munich, Germany. Since 2002, he has been a scientist at the Fraunhofer IISB, Germany. His field of research includes the modeling and simulation of optical and EUV lithography and of optical systems in general. He is the co-author of the lithography and optics simulator Dr. LiTHO. Gerardo Bottiglieri is a design engineer specializing in EUV lithography imaging modeling, simulations, and analysis. He joined ASML in 2007, and since 2013, he has contributed to the development of high-NA EUV scanners. He received his master’s degree in electrical engineering from the University of Catania. He is an IEEE senior member and a SPIE member. Timothy Brunner has been employed in the area of optical lithography since 1981, with particular interests in advanced image formation, simulation, process control, metrology techniques, and interdisciplinary aspects of lithography. After many years at IBM, he joined ASML in 2019, working in the Technology Development Center. Dr. Brunner has published extensively and holds several dozen issued patents in the area of optical lithography. He served as chair of the 1997 and 1998 SPIE Microlithography Symposia and is an SPIE fellow. Mark van de Kerkhof received his PhD in plasma physics from Eindhoven University of Technology. He began his career at ODME and in 1999 joined ASML, working on the development of sensors as well as projection optics for both DUV and EUV. He currently is the director for EUV projects at ASML research. He holds over 100 patents and authored or co-authored more than 50 scientific papers. He is a fellow of SPIE. |
Photomasks
Light sources and illumination
Surface plasmons
Semiconducting wafers
Resolution enhancement technologies
Nanoimprint lithography
Source mask optimization