PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
Journal of Micro/Nanopatterning, Materials, and Metrology
VOL. 24 · NO. 1 | January 2025
ISSUES IN PROGRESS
IN PROGRESS
SPIE publishes accepted journal articles as soon as they are approved for publication. Journal issues are considered In Progress until all articles for an issue have been published. Articles published ahead of the completed issue are fully citable.
The lithographic imaging performance of extreme ultraviolet (EUV) lithography is limited by the efficiency of light diffraction and contrast fading caused by 3D mask effects. The dual monopole concept has been proposed by Joern-Holger Franke to mitigate contrast fading for line-space (L/S) patterns.
Aim
We employ various modeling techniques to investigate the extendibility of dual monopole or split pupil exposures (SPs) to dense arrays of contacts on dark field and light field masks using different mask absorber options.
Approach
First, a semi-analytic model is introduced to understand the relevant imaging mechanisms of split pupil exposures for L/S patterns. Next, we apply the split pupil exposure to a regular array of contact holes on a dark field mask. A multi-objective optimization approach helps to identify general trends and specific solutions. Analysis of the near fields of the light reflected from the mask for these particular solutions provides further insights into the imaging mechanisms of split pupil exposures and the different behavior of dark field (DF) and light field (LF) masks. Investigations for several mask absorber materials, tonalities, source fillings, and target sizes demonstrate the application of SP to different use-case scenarios.
Results
Our simulations indicate that split pupil exposures benefit 1D (L/S) and 2D (arrays of contacts/pillars) features. The achievable gain compared with a single exposure (SE) depends on tonality, source filling, absorber material, and target size. The application of SP significantly impacts source mask optimization (SMO). SP affects optical proximity correction (OPC) and optimum source shape and may even modify the optimum absorber thickness. The combination of low-n absorbers, SP, and multi-objective SMO enables the identification of the best imaging solutions and pushes low k1 high-numerical aperture (NA) imaging to its ultimate limits.
Conclusions
Split pupil exposures can provide a promising addition to the toolbox of resolution enhancement techniques for low k1 high-NA lithography and unleash the full potential of low-n/low-k absorber materials.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Most well-known litho-simulation packages work with Manhattan unit cells to exploit the advantages of fast Fourier transforms. Simple 1D features with arbitrary orientations may lead to the fact that quite a large 2D area is needed to describe those features. The obvious consequences are that the feature is approximated by a staircase and that the pitches are related to the angle of rotation. The latter leads often to an increase in computation time, especially when the topography of the mask is taken into account. An obvious way of avoiding that is to rotate the features and all pupil functions or lens properties to x- or y-axes. However, for anamorphic systems, where the demagnifications are fixed in absolute space, this is not straightforward and several actions have to be taken. We described how to do this exactly. It will be shown that the aerial images from a full 2D simulation compare well with that of an equivalent 1D version.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Jodi Grzeskowiak, Michael Murphy, David Power, Steven Grzeskowiak, Jacob Dobson, Andrew Weloth, Charlotte A. Cutler, Eric Liu, David Conklin, Anton Devilliers
Alternative patterning solutions, such as spacer-based pitch splitting, have been a cornerstone of advanced technology nodes to enable device scaling. The greatest utility comes from the ability to self-align a pitch splitting process; however, traditional spacer-based patterning techniques require the deposition and etch of multiple materials, which reduce throughput and increase manufacturing costs. Anti-spacer technology, on the other hand, enables both self-aligned pitch splitting and high throughput via a single pass track-based process. We will describe the advancement of 193i anti-spacer technology to pattern trench dimensions beyond the critical dimension resolution of single-print extreme ultraviolet lithography and the utility of combining anti-spacer patterning with litho-freeze-litho-etch to enable the formation of sub-20-nm slot contact features for a minimum tip-to-tip (T2T) cut, with a roadmap to achieve sub-12 nm. A through process performance evaluation was conducted to further the understanding of fundamental process parameters and their associated effects on anti-spacer roughness and critical dimension uniformity. Such variables include photoresist, developer optimization, and overcoat dissolution. At pitches varying from 50 to 80 nm, we have demonstrated narrow trench widths down to 11.8 nm, which corresponds to the critical T2T dimension. Through hardmask etch transfer, we observe a 56% improvement in unbiased space width roughness and pitch-walking below 0.3 nm at 60-nm pitch.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
With high-numerical aperture extreme ultraviolet (EUV) exposure tools soon to be available for utilization by chip makers, the factors that could limit the useable resolution of these systems are considered, to address these potential limitations and thereby enable patterning close to the theoretical optical resolution limit. Extended volumes of solubility-switched resist around the absorption sites of individual photons will limit resolution and lead to large line-edge roughness. If the volumes of chemically converted resist are small, there need to be many such volumes to provide continuous paths for development. Hence, the matters of exposure dose and molecular considerations are not fully separable. New resist architectures will be needed to avoid extremely high exposure doses to pattern features below 10-nm half-pitch. More energy-efficient EUV light sources will be needed. Computational lithography must account for a multiplicity of issues, necessitating increased automation of mask pattern optimization.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
High-NA extreme ultraviolet lithography (EUVL) at 0.55 NA provides the resolution for single-exposure patterning of devices at 2-nm technology node and beyond. In a single exposure, the feature sizes in the 4× direction on the high-NA masks are tighter than the minimum dimensions imageable at 0.33 NA. We present a general discussion of the need for actinic inspections and then a detailed description of actinic pattern mask inspection (APMI) and actinic blank inspection (ABI), two critical capabilities for the EUV mask infrastructure. The advantages of actinic inspection are to detect all types of defects on blanks and masks with and without a pellicle. The recently developed APMI capabilities for high-NA EUV mask inspections offer higher resolution, image contrast, and defect sensitivity. The high-NA APMI is significantly upgraded from the platform with optics, EUV light source, and detector. The high-NA APMI has been released in 2023, and we presented the inspection results. Equally important is the ABI capability for high-NA mask blanks. The upgrade from the current platform includes a Schwarzschild objective with higher magnification that enhances defect signals in the dark field and improved optics with better imaging in the bright field for defect review. The new ABI provides not only higher sensitivity to multilayer phase defects but also an improved defect location accuracy, both are required for blank inspection in high-NA EUVL applications.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Projection lithography technology has been developed to allow the use of shorter wavelength light and to increase numerical aperture (NA) from 0.33 to 0.55. After enabling extreme-ultraviolet (EUV) wavelengths, to keep up with the scaling trends, the industry would now again like to increase the NA. As the depth of focus (DoF) is inversely proportional to the square of NA, in hyper NA (>0.55) EUV lithography (EUVL), we anticipate that the total available DoF in the lithography process would be further limited.
Aim
We aim to improve the imaging performance for a wide range of lines and space pitches by minimizing best focus (BF) variations generated from different pitches on an anamorphic EUV mask.
Approach
Sub-resolution grating (SRG) is proposed to address the increasingly complex design of sub-resolution assisted feature (SRAF), especially in high-NA or hyper-NA regimes where SRAF insertion becomes challenging. We first identify how the mask 3D (M3D) effect-induced BF variation through pitch behaves according to changes in the pattern orientation and mask tone for hyper NA EUVL. We study how various focus shift mitigation strategies can be combined to align the best foci and enhance the image contrast for hyper NA EUVL.
Results
Simulation results indicate that for increased NA, BF variations due to M3D effects for vertical lines deteriorate more significantly than for horizontal lines. As mitigation strategies, we presented diverse solutions in the mask and illumination space, leading to the achievement of well-aligned BF with enhanced image contrast for a broad pitch range and various feature types. By using a phase-less binary mask that has an EUV refractive index of n≅1 and a high EUV extinction coefficient k, the phase offset-induced BF variation through pitch can be mitigated, which could be a favorable option for hyper NA where overlapping DoF becomes crucial. Illumination source optimization in conjunction with aberration injection can correct pole-to-pole offset. SRG in the mask design addresses BF variation through pitch and improves normalized image log slope (NILS) for various patterns, wafer critical dimension targets, and a wide range of exposure dose processes using the most simple and straightforward method. This technique could be one of the best complementary techniques for a high-reflective attenuated phase shift mask also known as a low-n mask.
Conclusions
We identified that SRG aligns BF for various patterns with different pitches, and this can be applied to all absorber thicknesses. In addition, we identified that SRG even improves NILS at certain absorber thicknesses.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.