In this paper, we present a layout and performance analysis of logic and SRAM circuits for vertical and lateral GAA FETs using 5nm (iN5) design rules. Extreme ultra-violet lithography (EUVL) processes are exploited to print the critical features: 32 nm gate pitch and 24 nm metal pitch. Layout architectures and patterning compromises for enabling the 5nm node will be discussed in details. A distinct standard-cell template for vertical FETs is proposed and elaborated for the first time. To assess electrical performances, a BSIM-CMG model has been developed and calibrated with TCAD simulations, which accounts for the quasi-ballistic transport in the nanowire channel. The results show that the inbound power rail layout construct for vertical devices could achieve the highest density while the interleaving diffusion template can maximize the port accessibility. By using a representative critical path circuit of a generic low power SoCs, it is shown that the VFET-based circuit is 40% more energy efficient than LFET designs at iso-performance. Regarding SRAMs, benefits given by vertical channel orientation in VFETs has reduced the SRAM area by 20%~30% compared to lateral SRAMs. A double exposures with EUV canner is needed to reach a minimum tip-to-tip (T2T) of 16 nm for middle-of-line (MOL) layers. To enable HD SRAMs with two metal layers, a fully self-aligned gate contact for LFETs and 2D routing of the top electrode for VFETs are required. The standby leakage of vertical SRAMs is 4~6X lower than LFET-based SRAMs at iso-performance and iso-area. The minimum operating voltage (Vmin) of vertical SRAMs is 170 mV lower than lateral SRAMs. A high-density SRAM bitcell of 0.014 um2 can be obtained for the iN5 technology node, which fully follows the SRAM scaling trend for the 45nm nodes and beyond.
Standard-cell design and characterization are presented for 7-nm CMOS platform technology targeting low-power and high-performance applications with the tightest contacted poly pitch of 42 nm and a metallization pitch of 32 nm in the FinFET technology. Two standard-cell architectures for 7 nm, a 9-track library and a 7.5-track library have been designed, introducing an extra middle-of-line layer to enable an efficient layout of the 7.5-track cells. The 7.5-track cells are on average smaller than the 9-track cells. With the strict design constraints imposed by self-aligned quadruple patterning and self-aligned double patterning, careful design and technology co-optimization is performed.
Moore's Law (Moore's Observation) has been driving the progress in semiconductor technology for the past 50 years.
The semiconductor industry is at a juncture where significant increase in manufacturing cost is foreseen to sustain the
past trend of dimensional scaling. At N10 and N7 technology nodes, the industry is struggling to find a cost-friendly
solution. At a device level, technologists have come up with novel devices (finFET, Gate-All-Around), material
innovations (SiGe, Ge) to boost performance and reduce power consumption. On the other hand, from the patterning
side, the relative slow ramp-up of alternative lithography technologies like EUVL and DSA pushes the industry to adopt
a severely multi-patterning-based solution. Both of these technological transformations have a big impact on die yield
and eventually die cost. This paper is aimed to analyze the impact on manufacturing cost to keep the Moore’s law alive.
We have proposed and analyzed various patterning schemes that can enable cost-friendly scaling. We evaluated the
impact of EUVL introduction on tackling the high cost of manufacturing. The primary objective of this paper is to
maintain Moore’s scaling from a patterning perspective and analyzing EUV lithography introduction at a die level.
This work addresses the difficulties in creating a manufacturable M2 layer based on an SADP process for N10/N7 and proposes a couple of solutions. For the N10 design, we opted for a line staggering approach in which each line-end ends on a contact. We highlight the challenges to obtain a reasonable process window, both in simulation as on based on exposures on wafer. The main challenges come from a very complex keep mask, consisting of complicated 2D structures which are very challenging for 193i litho. Therefore, we propose a solution in which we perform a traditional LELE process on top of a mandrel layer. Towards N7 we show that a line staggering approach starts to break down and design needs to allow better process window for lithography by having metal lines ending in an aligned fashion. has many challenges and we propose to switch to a line cut approach. A more lithography friendly approach is needed for design where the lines end at aligned points so that the process window can be enhanced.
While waiting for EUV lithography to become ready for adoption, we need to create designs compatible with both EUV single exposures as well as with 193i multiple splits strategy for technology nodes 7nm and below needed to keep the scaling trend intact. However, the standard approach of designing standard cells in two-dimensional directions is no more valid owing to insufficient resolution of 193-i scanner. Therefore, we propose a standard cell design methodology, which exploits purely one-dimensional interconnect.
At 7nm and beyond, designers need to support scaling by identifying the most optimal patterning schemes for their designs. Moreover, designers can actively help by exploring scaling options that do not necessarily require aggressive pitch scaling. In this paper we will illustrate how MOL scheme and patterning can be optimized to achieve a dense SRAM cell; how optimizing device performance can lead to smaller standard cells; how the metal interconnect stack needs to be adjusted for unidirectional metals and how a vertical transistor can shift design paradigms. This paper demonstrates that scaling has become a joint design-technology co-optimization effort between process technology and design specialists, that expands beyond just patterning enabled dimensional scaling.
The Fin-FET Technology scaling to sub 7nm node, using 193 immersion scanner is restricted due to reduced margins for process. The cost of the process and complexity of designs is increasing due to multi-patterning to achieve area scaling using 193i scanner. In this paper, we propose a two Fin-cut mask design for Fin-pattering of 112 SRAM (two Fins for pull-down and one Fin for pull-up and pass-gate device) cell using 193i lithography and its comparison with EUVL single print. We also propose two keep masks for middle of line patterning ,with increased height of the SRAM cell using 193i, that results in area of a uniform-Fin SRAM cell area at 7nm technology; whereas EUVL can enable non-uniform SRAM cell at reduced area. Due to unidirectional patterning, margins for VIA0 landing over MOL are drastically reduced at 42nm gate pitch and hence to improve margins, the orientation for 1st metal is proposed to be orthogonal to the gate. This results in improved performance for SRAM and reliability of the technology.
KEYWORDS: Extreme ultraviolet lithography, Optical lithography, Lithography, Extreme ultraviolet, Semiconducting wafers, Semiconductors, Photomasks, Back end of line, Metals, Front end of line
Traditionally, semiconductor density scaling has been supported by optical lithography. The ability of the exposure tools to provide shorter exposure wavelengths or higher numerical apertures have allowed optical lithography be on the forefront of dimensional scaling for the semiconductor industry. Unfortunately, the roadmap for lithography is currently at a juncture of a major paradigm shift. EUV Lithography is steadily maturing but not fully ready to be inserted into HVM. Unfortunately, there are no alternative litho candidates on the horizon that can take over from 193nm. As a result, it is important to look into the insertion point of EUV that would be ideal for the industry from an economical perspective. This paper details the benefit observed by such a transition. Furthermore, it looks into such detail with an EUV throughput sensitivity study.
Extreme Ultra-Violet lithography (EUVL) is considered as the most promising candidate to replace optical lithography
from the 14nm technology node onwards. EUVL has recently been supplanted by multiple patterning using existing
193nm immersion lithography tools for upcoming 14 nm technology node due to the current resolution limitations and
production level efficiency restrictions. In this paper, a wafer cost model for technology node from 28nm down to 14nm
has been developed. It identifies lithography module as the key component where innovation can be leveraged to reduce
cost. The results presented in the paper reveal that wafer cost will be increased by 30% from 28nm to 20nm technology
node. A 70% increase in wafer cost is foreseen during a transition from 20nm to 14nm node based on 193nm immersion
lithography and multiple patterning. The cost analysis predicts a 30% wafer cost reduction by adapting EUVL at a 14 nm
technology node compared to 193nm immersion technology (normalized to 28nm wafer cost). It proves that the
readiness of EUVL is critical to keep scale the logic devices at the pace of Moore’s law without violating the scale of
economics in semiconductor industry.
Although various approaches can be used to quantify linewidth roughness (LWR), it is essential to determine it with
sufficient confidence. Statistical fluctuations inherent to the measurement process are making correlation between
performance and LWR challenging. To reduce uncertainty, line width variations and LWR need to be monitored online
in full automation by CDSEM. In this paper, we use this methodology to investigate the effect of LWR on
electrical performance for various device applications. Our results quantify the impact of LWR by using matching
techniques.
In this paper, some new front-back coupling noise effects are described. They have been revealed in partially-depleted SOI MOSFETs under conditions where an accumulating voltage is applied to the back gate. The first effect consists in the appearance of a Lorentzian component in the noise spectra of the front channel current. The time constant for such Lorentzians which are observed in weak and strong inversion decreases with increasing amplitude of the back-gate voltage and is independent of the front-gate voltage. The second effect is the decrease of the amplitude and the turn-over frequency of the LKE noise Lorentzians that are present in the noise spectra due to the EVB tunneling currents. It is shown that the Lorentzians generated under conditions of an accumulating back-gate voltage and the LKE Lorentzians are analogous by their nature. A model is considered whereby the source of the Lorentzians entering the noise spectra in the presence of an accumulating back-gate voltage is the Nyquist noise voltage generated across the p+-n+ junction induced by the back-gate voltage at the source/back gate. The capacitive character of the source-body impedance is the reason for the Lorentzian shape of the noise component generated by those Nyquist fluctuations
KEYWORDS: CMOS technology, Oxides, Field effect transistors, Dielectrics, Transistors, Analog electronics, Switching, System on a chip, Denoising, Oscillators
As further enhanced functionalities of mobile equipment are predicted, the development of a CMOS technology that provides low-power, high-speed, and low-noise performance has become an urgent and hot issue. For these application driven technologies the complexity must be tackled at different levels to insure the optimisation of the area, the power consumption, the speed and the reliability. Therefore this paper present a review of the solutions implemented at different levels from system down to technology in order to reduce the contribution of the low frequency noise. These achievements are illustrated by experimental results from literature and are inserted in the general context of system design strategies for reducing the 1/f noise contribution.
In a first part dedicated to high-level system and circuit design, we introduce the noise reduction by switching techniques and the methodology for including the noise dispersion in scaled devices for the early design of analogue/RF circuits. In the second part, the 1/f noise is tackled at its origins i.e. the choice of the gate oxide and other critical process steps.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.