High NA anamorphic EUV scanner has anamorphic optics with 8x demagnification in y direction and thus twice smaller exposure fields 26x16.5 mm2. In-die stitching may be required in order to create dies larger than High NA exposure field. In this work we consider stitching of vertical lines and spaces (LS) and establish methodology of stitching evaluation including detailed contour metrology at stitch, across wafer performance, process window and contrast metrics and sensitivity to single layer overlay between two stitched fields.
High NA EUV lithography has become a reality. The high NA EUV scanner (EXE:5000) produces exposure fields of 26x16.5 mm2 which is twice smaller than standard fields on other scanners. For certain use cases (e.g. when a die is larger than the High NA field) stitching between two exposure fields might be required. Stitching of vertical lines across two exposure fields has already been demonstrated in several publications. In this publication, we pay attention to photomask related aspects of stitching which are multifold. We draw attention to the need for mask resolution enhancement which will enable advanced OPC at stitching. We will show stitching behavior on both Tantalum and low-n masks and demonstrate low-n absorber reflectivity suppression by means of sub-resolution gratings which is required for stitching. We explore the behavior of the exposure field black border (BB) edge and formulate recommendations for specifications on BB edge control as well as pattern placement and pattern fidelity at the black border. Finally, we conclude that the mask performance is a key enabler of High NA stitching.
The combination of High NA EUV anamorphic projection optics and unchanged mask-blank size result in a “High NA field” with a maximum size of 26x16.5 mm² at wafer level. Therefore, to create a die larger than the High NA full field, two images are stitched together. So-called in-die stitching is enabled by a combination of design, mask, OPC, process, and scanner solutions. We present an overview of our learnings about at-resolution stitching based on a representative experimental study at NA=0.33, in preparation for tomorrow’s NA=0.55. For a pitch 28nm vertical line-space, optimum conditions are confirmed experimentally to create a robust stitch. A P28 LS is measured post-stitching utilizing either a Ta absorber or a low-n absorber. For the latter, the higher reflectivity is experimentally mitigated by using sub-resolution-gratings. We also quantify the imaging impact of the transition between the absorber and the black border in the stitching region.
In this publication, we consider stitching enablement for High NA EUVL, specifically ‘zooming in’ on vertical line stitching used to create a physical connection between fields on wafer. We discuss stitching CD metrology and analysis using experimental and simulation results for pitch 36 nm dense lines. Experimental results were obtained on the NXE:3400B scanner at imec. CD uniformity across wafer and through slit are investigated as well as the impact from image to image overlap variation and the contribution of reticle CD errors and mask 3D shadowing. In the previous publications, we gave an overview of stitching challenges and various interactions in the stitching zone. In this publication, we focus on the aerial image interaction. Along a stitched vertical line, there are variations in CD creating a certain CD profile. These CD variations were modeled in a rigorous simulator but also observed experimentally. In order to characterize this behavior, we perform CD profile metrology at the stitch. We investigate the root causes of CD variability at the stitch and propose control mechanisms of stitching optimization. A key control mechanism being optical proximity correction (OPC) as well as overlay control.
BackgroundFocus-exposure process window measurement and analysis is an essential function in lithography, but the current geometric approach suffers from several significant deficiencies.AimBy clearly identifying the problems with the geometric process window approach, a process window measurement and analysis method will be proposed to address these problems.ApproachThe probabilistic process window (PPW) proposed here takes metrology uncertainty into account and rigorously calculates the expected fraction of in-spec features based on settings for the best dose/focus and presumed random errors in dose and focus. Using the fraction of in-spec features thus calculated, a much more rigorous determination of the trade-off between exposure latitude and depth of focus (DOF) can be performed.ResultsThe PPW approach is demonstrated on focus-exposure data generated from a standard extreme ultraviolet lithography process at three different pitches, showing the value of this method.ConclusionsThe PPW approach offers clear advantages in accuracy for both DOF determination and the best dose/focus determination. Consequently, its use is preferred both for process development applications and high-volume manufacturing.
Background: Focus-exposure process window measurement and analysis is an essential function in lithography, but the current geometric approach suffers from several significant deficiencies. Aim: By clearly identifying the problems with the Geometric Process Window approach, a new process window measurement and analysis method will be proposed to address these problems. Approach: The Probabilistic Process Window proposed here takes metrology uncertainty into account and rigorously calculates the expected fraction of in-spec features based on settings for best dose/focus and presumed random errors in dose and focus. Using the fraction of in-spec features thus calculated, a much more rigorous determination of the trade-off between exposure latitude and depth of focus can be performed. Results: The Probabilistic Process Window approach is demonstrated on focus-exposure data generated from a standard extreme ultraviolet lithography process at three different pitches, showing the value of this method. Conclusions: The new Probabilistic Process Window approach offers clear advantages in accuracy for both depth of focus determination and best dose/focus determination. Consequently, its use is preferred both for process development applications and high-volume manufacturing.
The key challenge to enable a good defectivity control for extreme ultraviolet (EUV) single expose at 32nm pitch is to understand what are the main drivers for defect generation.
CD is one of the main contributors, and has many sources of variability (reticle, imaging, die layout, scanner).
The paper will first discuss the quantification of defectivity sensitivity to CD, and identification of the main sources of CD variations (EUV flare, black border, etch, APC, mask bias etc...). All those effects do not have the same consequences on the defect level (only nanobridges will be considered as they are the main defect type).
At this pitch, CD margin is becoming critical, an any small variation can lead to pattern collapse/bridge regime.
In a second part, we will discuss the different options for a better CD control and evaluate their impact on the overall defectivity level (reticle, process and tool will be considered).
An Intrafield CD uniformity improvement of 40% can lead to a defect density reduction by about 30%.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.