Currently, we are supplying defect-free EUV mask for device development. This was one of the biggest challenges in the implementation of EUV lithography for high volume manufacturing (HVM). It became possible to hide all multi-layer defects by using defect avoidance technique through improvement of blank mask defectivity and development of actinic blank inspection tool. In addition, EUV pellicle is also considered as a requisite to guarantee predictable yield. Both development of mask shop tools and preparation of EUV scanner for pellicle are going well. However, still membrane needs to be much improved in terms of transmittance and robustness for HVM. At the conference, EUV mask readiness for HVM will be discussed including blank defect improvement, preparation of actinic tools and pellicle development.
We introduce an extreme ultraviolet lithography (EUVL) mask defect review system (EMDRS) which has been developing in SAMUSNG. It applies a stand-alone high harmonic generation (HHG) EUV source as well as simple EUV optics consisting of a folding mirror and a zoneplate. The EMDRS has been continuously updated and utilized for various applications regarding defect printability in EUVL. One of the main roles of the EMDRS is to verify either mask repair or mask defect avoidance (MDA) by actinic reviews of defect images before and after the process. Using the MDA, small phase defects could be hidden below absorber patterns, but it is very challenging in case of layouts with high density patterns. The EMDRS clearly verify the success of the MDA while conventional SEM could not detect the images. In addition, we emulate images of the sub-resolution assist features (SRAFs) by the EMDRS and compared them with the wafer exposure results.
In this article the recent progress in the elements of EUV lithography is presented. Source power around 205W was demonstrated and further scaling up is going on, which is expected to be implemented in the field within 2017. Source availability keeps improving especially due to the introduction of new droplet generator but collector lifetime needs to be verified at each power level. Mask blank defect satisfied the HVM goal. Resist meets the requirements of development purposes and dose needs to be reduced further to satisfy the productivity demand. Pellicle, where both the high transmittance and long lifetime are demanded, needs improvements especially in pellicle membrane. Potential issues in high-NA EUV are discussed including resist, small DOF, stitching, mask infrastructure, whose solutions need to be prepared timely in addition to high-NA exposure tool to enable this technology.
It is now well established that extremely ultraviolet (EUV) mask multilayer roughness can lead to wafer-plane line-edge roughness (LER) in lithography tools. It is also evident that this same effect leads to sensor plane variability in inspection tools. This is true for both patterned mask and mask blank inspection. Here we evaluate mask roughness specifications explicitly from the actinic inspection perspective. The mask roughness requirement resulting from this analysis are consistent with previously described requirements based on lithographic LER. In addition to model-based analysis, we also consider the characterization of multilayer mask roughness and evaluate the validity of using atomic force microscopy (AFM) based measurements by direct comparison to EUV scatterometry measurements as well as aerial image measurements on a series of high quality EUV masks. The results demonstrate a significant discrepancy between AFM results and true EUV roughness as measured by actinic scattering.
The most important factor in extreme ultra violet (EUV) mask process is thickness variations which
caused by resist dark loss, absorber etching and capping layer durability of cleaning chemical at each
layer. For example if multilayer (M/L) is damaged due to 2.5nm capping layer loss after cleaning, it means it is impossible to get sufficient reflectance to make proper EUV mask.
Photo-induced defect for optic mask mainly depends on the surface residual ions coming from cleaning process, pellicle
outgassing, or storage environments. Similar defect for EUV mask triggered by accumulated photon energy during
photolithography process has drawn interest recently but this defect is somewhat different from normal photo-induced
defect for optic mask. The photo-induced defect for EUV mask is known to be created by the chemical deposition of
Carbon atoms originating from cracking of hydrocarbons by EUV light and secondary electrons on capping layer.
It is very likely that Carbon contamination would be dominant under normal EUV exposure condition. On the other
hand, it is expected that another kind of photo-induced defects would rise to surface under controlled environment where
Carbon contamination growth is severely suppressed. We may have to understand the behavior of surface residual ions
under EUV light in order to cope with another probable EUV photo-induced defect.
In this paper, we will investigate whether surface ions remaining after cleaning process like sulfate or ammonium ions
would create printable defects or decompose into evaporable species under EUV light. In case they create certain defects
on mask surface, their effect on EUV reflectivity and absorber pattern CD variation will be also examined. Finally,
improved cleaning process to impede photo-induced defect creation on EUV mask will be introduced.
Thinner absorber structure in EUVL mask is supposed to be applied in 2x HP node since it shows several
advantages including H-V bias reduction. Here, lithographic performances of EUVL masks as a function of absorber
stack height are investigated using ADT exposure experiments. Wafer SEM images show that minimum resolution is
almost identical at ~27.5 nm with absorber thickness ranging from 45 to 70 nm. Simulations also exhibit that NILS and
contrast become maximized and saturated in those ranges. However, thinner absorber structure using 50-nm-thick
absorber shows much lower H-V bias than conventional structure using 70-nm-thick absorber. MEEF, EL, DOF, and
LWR are also slightly improved with thinner absorber. One of the noticeable issues in thin absorber is low OD which
results in pattern damages and CD reduction at shot edges due to light leakage from the neighboring exposures. To
overcome these issues, appropriate light shielding process during mask fabrication as well as minimizing OoB radiation
in EUVL scanner are required. Another item to prepare for 2x HP node is to increase defect detection sensitivity with
19x nm inspection tools. Thus, absorber stacks with new ARC layer optimized for 19x nm inspection should be
developed and applied in EUVL mask blanks.
Printability and inspectability of phase defects in EUVL mask originated from substrate pit were investigated. For
this purpose, PDMs with programmed pits on substrate were fabricated using different ML sources from several
suppliers. Simulations with 32-nm HP L/S show that substrate pits with below ~20 nm in depth would not be printed on
the wafer if they could be smoothed by ML process down to ~1 nm in depth on ML surface. Through the investigation of
inspectability for programmed pits, minimum pit sizes detected by KLA6xx, AIT, and M7360 depend on ML smoothing
performance. Furthermore, printability results for pit defects also correlate with smoothed pit sizes. AIT results for
patterned mask with 32-nm HP L/S represents that minimum printable size of pits could be ~28.3 nm of SEVD. In
addition, printability of pits became more printable as defocus moves to (-) directions. Consequently, printability of
phase defects strongly depends on their locations with respect to those of absorber patterns. This indicates that defect
compensation by pattern shift could be a key technique to realize zero printable phase defects in EUVL masks.
Phase-shifting EUVL masks applying thinner absorber are investigated to design optimum mask structure with less shadowing problems. Simulations using S-Litho show that H-V bias in Si capping structure is higher than that of Ru capping since the high n (= 0.999) of Si increases sensible absorber height. Phase differences obtained from the patterned masks using the EUV CSM are well-matched with the calculated values using the practical refractive index of absorber materials. Although the mask with 62.4-nm-thick absorber, among the in-house masks, shows the closest phase ΔΦ(= 176°) to the out-of-phase condition, higher NILS and contrast as well as lower H-V bias are obtained with 52.4-nm-thick absorber (ΔΦ = 151°) which has higher R/R0 ratio. MET results also show that lithography performances including MEEF, PW, and resist threshold (dose), are improved with thinner absorber structure. However, low OD in EUVL mask, especially in thinner absorber structure, results in light leakage from the neighboring exposure shots, and thus an appropriate light-shielding layer should be introduced.
Lifetime of EUVL masks which are intentionally contaminated with carbon is investigated by comparing Si and Ru
capping layer. Carbon deposition is observed not only on the multilayer, but also on the absorber sidewall of the mask.
Deposited carbon on the sidewall during EUV exposure gradually varies mask CD and also induces the changes in the
wafer printability and dose in the scanner. In addition, we compare the effects of carbon contamination between Si and
Ru capped blank. Ru capped blank shows longer mask mean time between cleaning (MTBC) than Si capped blank by 25% in our experiments.
Downscaling of microchip production technology continually increases requirements to precision of process control, and demands improvement of critical dimension (CD) measurement and control tools. In this paper we discuss the application of in situ method of critical dimension measurement for improvement of photomask development process. For this purpose scatterometry and fitting methods are applied to the CD end point detector system (CD EPD). The CD EPD system is different from the commonly used EPD system, which mainly detects the thickness of remaining resist. Measurement can be performed directly during development process, thus there is an advantage of measurement time decreasing in comparison with the ex situ method. In situ method allows one to control development precisely, and gives possibility to meet the requirements of process control. For the application of scatterometry to the CD measurement, diffraction analysis is carried out by using of rigorous coupled wave analysis (RCWA). We calculate the library of reflected spectra with various CD and heights of the pattern. These spectra are used for fitting with an experimentally measured one to get the CD and height. To increase precision and speed of measurements interpolation of spectra and various fitting methods are used.
In the photo-lithography process, a mask is one of the most important items because its imperfection induces the variation of critical dimension (CD) and becomes the source of the CD error on the wafer. The CD error amplification is denoted by using Mask Error Enhancement Factor (MEEF)(1,2) and related to the photo-lithography process. Nowadays MEEF increases conspicuously as the device shrinks so fast. Therefore the mean-to-target (MTT) and the uniformity of the mask CD are very important factors to reduce the effect of high MEEF. In general, the process constant k1 factor has been cited to denote the capability of the photo process for a certain resolution. However MEEF can describe the process difficulty well because it depends on the layout design and the process conditions although the designed patterns have the same design rule.
In this study the MEEFs of sub-80nm DRAM patterns(3) are discussed with the process constant k1, MTT and the mask CD uniformity. And then the results are compared with the simulation and the wafer process data. Considering the mask specification calculated from the wafer specification and MEEF, the photo tool and process upgrade is necessary to reduce MEEF and to have the mask fabrication tolerance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.