As is well known, a very short wavelength of 13.5 nm EUV is strongly absorbed by the most materials and this might cause huge heat deposition and as a result the pellicle deformation. Previously we have shown that the temperature rising of the thin pellicle membrane is minimal and cooling between the exposures is efficient enough so that we do not have to worry about the pellicle deformation. People still worry about the temperature rising of the mesh structure. We find that the cooling of the mesh was very slow and can pile up and damage the pellicle because thick (~ 50 μm) mesh structure has much larger mass compared to very thin (~ 50 nm) membrane. In order to see this heat behavior of the mesh, we intentionally increased the exposure time up to 2000 ms from normal 10 ms for Si, Zr, and Ni mesh. For the case of silicon mesh, the peak temperature rises up with the exposure time initially, but the temperature is not increased any more and is saturated even though more energy is deposited as the exposure time is increased. This result shows again that the heat pile up to pellicle including both membrane and mesh support can be managed and EUV pellicle can be used for EUV high volume manufacturing.
Extreme ultraviolet lithography is about to be realized in mass production even though there are many obstacles to be
overcome. Several years ago, the EUV pellicle was suggested by some people, but the idea of using the EUV pellicle
was abandoned by most people because there were big problems that were believed to be almost impossible to
overcome. The EUV pellicle should be made of an inorganic material instead of a common organic pellicle and should
be very thin due to EUV transmission. In addition to that the support of the very thin pellicle film should be used. The
structure of the support of the pellicle thin film should not make any noticeable intensity difference on the top of the
patterned mask side. However, the experimental result of the Intel showed the interference images with their suggested
support structure. In the Intel's report, the structure of the support was honeycomb or regular mesh type with a ~ 10 μm
line width and a ~100 μm pitch size. We study the intensity distributions on the top of mask for various combinations
around the above the mentioned scales and the support structures. The usable structure of the support will be reported
based on our simulation results, which would open the possibility of the EUV pellicle in mass production.
In EUVL, a pellicle is required for protecting the mask from contamination. However, the use of the pellicle at the real
applications is very challenging since temperature increase due to EUV light absorption can reduce the transmission of
EUV beams and distort a transmitted EUV beam profile. In the previous study, we consider the thermal behaviors of the
pellicle considering only the film during EUV lithography based on a simple thermal modeling using the heat transfer
equations. However the mesh is also required for supporting the thin film. Here we report the study of thermal behaviors
of the pellicle including both the film and the mesh. In the previous studies done by others, there are 3 cases depending
on linewidth and pitch and we review all the cases. And we take silicon as the material of thin film and wire-mesh. We
will show that the temperature increase of the mesh and its dependence on the structure are much smaller than those of
the film. Furthermore the conditions of a mesh structure and a material to reduce adverse thermal effects will be
discussed.
Extreme Ultraviolet Lithography (EUVL) is one of the patterning technologies for the 22 nm node and below. Generally,
EUVL used a reflectivity type mask consist of absorber layer on a mask blank substrate coated with Mo/Si multilayer.
Especially, reflectivity from EUV mask multilayer could be one of the important factors to make EUV process to be
ready for 22 nm node. In spite of the developed technologies, the reported experimental reflectivity (60-66 %) is much
less than the theoretical reflectivity (73 %) from the perfect EUV mask multilayer because of the Mo/Si rough
boundaries and multilayer top surface roughness. The surface roughness that occurs in deposition of multilayer makes
the reflectivity loss. It seems that it might be difficult to reach the ideal reflectivity and 22 nm node process has to live up
with the imperfect reflectivity.
In this study, we focused on the influence of the surface roughness on the Mo/Si multilayer for 22 nm node. First we
studied the reflectivity loss for the multilayer surface roughness. The magnitudes of short, medium, and long range
roughness are compared in terms of the amplitude and phase non-uniformity because even 1 nm roughness can make
huge difference in EUV. The aerial image and process latitude with surface roughness are studied and the possibility of
22 nm node patterning with surface roughness will be reported.
The lithography industry has been working to extend 193 nm immersion with double patterning and
complex computational lithographic techniques for 32 nm and below. Also extreme ultraviolet
lithography (EUV) are used to make the 22 nm half-pitch and below. However, technical challenges
remain to be addressed, as well as the high cost of the manufacturing tool. There was a report that a
new wavelength, 172 or 175 nm, can be used for next generation lithography system. 172 nm
lithography, although, has higher absorbance than 193 nm, it has much higher transmission than 157
nm in high refractive index liquid. Compared with 193 nm immersion lithography that has the
resolution limit of 35.7 nm by using maximum numerical aperture (NA) of 1.35, 172 nm immersion
lithography can be used for possible resolution limit of 27.4 nm by using maximum NA of 1.57. In
this paper, we evaluated the 172 nm immersion lithography using commercial lithography simulation
for 28 nm node by single exposure. We also checked the patterning possibility of 22 and 16 nm node
by using 172 nm and double patterning because a totally new wavelength should show the possible
extension to multiple generations.
Extreme ultraviolet lithography (EUVL) is believed to be possible patterning technology which can make 22 nm
and below. EUV uses a reflective mask so that the mask is shined with the oblique incident light. Thus, the study of
incident angle effect is very important. Currently, 6 degree oblique incidence is main stream, but 5 degree incident angle
is also studied for 0.25 NA. Incident angles larger than 6 degree are also considered for larger NA. This incident angle
will affect many things, eventually to the line width. Shadow effect also strongly depends on the incident angle. This
shadow effect in the EUVL mask is an important factor that decreases the contrast of the aerial image and causes a
directional problem, thus it will make line width variation. The off-axis illumination (OAI) will be used with
conventional on-axis illumination to make much smaller patterns. This OAI will split the main beam and change the
incident angle. We found that if the incident angle increased with higher degree of coherence, the aerial image went
worse. The CD difference between the horizontal and the vertical pattern is also dependent on the degree of coherence
even though it is small.
Mask defect is one of the biggest problems in Extreme Ultraviolet Lithography (EUV) technology. EUV mask must be free of small defects, requiring development of new inspection tools and low defect fabrication processes. So, we studied the influences of the defects on the mask for 22 nm line and space pattern. First, we changed the light quality caused by the various wavelength shift, incident angle, and the defect material with different refractive index. Second, we changed the defect size from 20 nm to 16 nm because 18 nm defect is assumed to a critical defect size for 22 nm node. Third, we also changed the defect positions; on top of the absorber, on the valley of the absorber, and at the sides of the absorber. Finally, we simulated the influence for the different shaped defect. A square pillar defect shows very different behavior compared to the more realistic round shaped defect. Defect of higher refractive index gives little influence, while defect of lower refractive index gives larger influence. A more realistic elliptical shaped defect gives less influence compared to square shaped defect. All the defect and EUV parameters will influence to the printability of the defect, but more study is needed to judge whether a certain defect can influence the printed pattern.
Patterning of contact hole is always the most difficult process among many types of pattern formations. Specially
for the Extreme Ultra-Violet Lithography (EUVL), it will be even more difficult to make perfectly circled contact hole
due to the shadow effect. The shape of contact hole will be elliptical because the vertical axis opening is different from
the horizontal axis opening. We studied this behavior for 22 nm node contact hole patterns. We varied the pitch of the
regular contact hole array. The dependency of the position and density is studied for the random array. In addition to that
the thickness of the absorber and the reflectivity of the multilayer are varied to see non-circular contact hole. In order to
make desired circular contact hole with uniform width, direction dependent mask bias is applied in addition to the
normal optical proximity correction.
In this paper, we suggest an optimal attenuated phase shift mask (PSM) structure for extreme ultra violet lithography
(EUVL) to minimize mask shadowing effect without loss of image contrast. The attenuated PSM proposed in this study
is based on Fabry-Perot structure that consists of tantalum nitride (TaN) attenuator, Al2O3 spacer, and molybdenum (Mo)
phase shifter. Deep ultra violet (DUV) reflectivity can be lowered down to 5% at 257nm for higher efficiency in DUV
inspection process through the optimal thickness combination of TaN and Al2O3. Since the thickness variation of Mo
dose not affect the DUV reflectivity, the phase shift effect can be controlled by Mo thickness only. As a result,
attenuated PSM with phase shift of 180±6° and absorber reflectivity of 9.5% could be obtained. The total thickness of
absorber stack is only 52nm. The analysis of aerial image was performed using SOLID-EUV simulation tool. The
attenuated PSM showed steeper edge profile and higher image contrast compared to binary mask. Imaging properties
including horizontal-vertical (H-V) critical dimension (CD) bias and pattern shift depending on both pattern size and
process condition were compared to the binary mask using aerial image simulation. Attenuated PSM showed less H-V
CD bias compared to that of binary mask. The 32nm dense pattern shows larger H-V CD bias than 45nm one due to
larger shadowing of smaller pattern size. Especially, 32nm dense pattern at binary mask has very large H-V CD bias. The
H-V CD bias was also affected by the change of focus. However, the H-V bias variation with defocus was below 1nm
within the process latitude. We also obtained the result that the pattern shift is less sensitive than H-V CD bias with the
optical property of absorber in EUVL.
Pattern collapse for line widths under 32 nm printed by extreme ultra-violet lithography (EUVL) is investigated by using commercial tools. Pattern collapse phenomenon occurs very often in actual process. Pattern collapse means that pattern is bending, peel-off, and break of the resist, thus it affects the production and yield of semiconductor. In this paper, we newly defined and investigated the critical aspect ratio. Pattern collapse happens if the critical aspect ratio is smaller than aspect ratio. Because EUV resist has smaller adhesive strength than currently available DUV and ArF resists, EUV resist easily collapse more easily than DUV resist does. This phenomenon is successfully modeled.
Extreme Ultraviolet Lithography (EUVL) is one of the patterning technologies proposed for the next generation lithography (NGL) which makes pattern less than 50 nm critical dimension (CD). And EUVL uses a very short exposure wavelength of 13.4 nm. So it has many characteristic in common with optical lithography, but EUVL are different from the conventional mask applied to the projection optical lithography. Specially, industry experts generally agree that the biggest challenges and risks for the next generation of lithography systems involve the mask. In EUVL, a mask is produced by applying multilayers of molybdenum and silicon to a flat substrate. The circuit pattern is produced by applying a final EUV-absorbing metal layer and then etching away the metal to form the image of the circuit. Also, the light shining with 6 degrees oblique to mask can not get target CD easily because the shadow effect is influenced on pattern. Therefore we must understand this kind of effect before doing real process. We tried to change the structure of the mask in order to decrease this effect and to have enough process latitude for the 32 nm node. EUV mask is affected by the thickness and kind of absorber and buffer material. First, we changed the absorber material such as Cr, TaN and Ge etc. without changing the buffer material. Second, we changed the thickness of the absorber materials. We tried to minimize the shadow effect by adjusting the side wall angle of the absorber layer parallel to the oblique incidence. Additionally we considered different shapes and depth of the etched multilayer binary mask and the refilled multilayer binary mask such as the inclined side wall of the etched multilayer. In this paper, we will describe the optimized EUV mask structure for 32 nm node by studying not only the aerial image, but also the resist profile. Solid-EUV simulator of Sigma-C is used to calculate the aerial image, resist pattern profile, and the process latitude with the optimized process parameters.
Resolution enhancement technology (RET) refer to techniques that extend the usable resolution of an imaging system without decreasing the wavelength of light or increasing the numerical aperture (NA) of the imaging tool. Off-axis illumination (OAI) and phase shift mask (PSM) are essentially accompanied with optical proximity correction (OPC) for most devices nowadays. In general, these three techniques do not work in isolation and the most aggressive mainstream lithography approaches use combinations of all RETs. In fact, OAI and PSM are essentially useless for typical chip-manufacturing applications unless accompanied by OPC. For low k1 imaging, strong OAI such as Quasar or dipole illumination types is the best. We used dipole illumination in this study. By using strong OAI, the amplitude of the 0th order is decreased and the amplitude of the 1st order is increased. Chromeless phase lithography (CPL) is one of PSM technologies and CPL mask is the possible solution for small geometry with low mask error enhancement factor (MEEF). CPL uses only 180 degrees phase-shifter on transparent glass without chromium film to define light-shielding region, destructive interference between light transmitted through the 0 degree and 180 degrees regions produces dark images. To obtain the best resolution, proper OPC is required with CPL. While the most common and straightforward application of OPC is to simply move absorber edges on the mask by giving simple mask bias, the interesting and important additional technique is the use of scattering bars. Also, we can use zebra patterns for the transmission control. Mask intensity transmission changes can impact the image quality. Zebra patterns are formed by adding chromium transverse features. The transmission will be controlled by the zebra pattern density. Technology node with ArF source is studied and the mask optimization is found to be a critical. And the linewidth of scattering bars, transmission (using zebra feature) are varied at line and space (L/S) patterns. We used 65 nm node 5 L/S and 45 nm node isolated line pattern. In order to optimize the zebra pattern density, we need to control the line width and pitch of the zebra patterns. For dense line and isolated line, the use of scattering bars and zebra patterns affected target critical dimension. We found out the better process window at dense 65 nm node by comparing the use of scattering bars with zebra patterns. Likewise, we optimized the isolated 45 nm node.
Selectivity of extreme ultra-violet lithography mask's material and thickness significantly influences on pattern formation. Since the reflectance changes periodically depending on absorber thickness, we investigated the absorber thickness effect on to near field and aerial image for 32 nm line/space and isolated pattern. We chose germanium and chromium as absorber materials. We also investigated the line width variation by absorber thickness change with different duty ratios. SOLID-EUV of sigma-C was used for this study.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.