Imprint lithography has been shown to be an effective technique for the replication of nano-scale features.
Acceptance of imprint lithography for manufacturing will require a demonstration of defect levels commensurate with
cost-effective device production. This work summarizes the results of defect inspections of hard disks patterned using
Jet and Flash Imprint Lithography (J-FILTM). Inspections were performed with optical based automated inspection tools.
For the hard drive market, it is important to understand the defectivity of both the template and the imprinted disk.
This work presents a methodology for automated pattern inspection and defect classification for imprint-patterned
media. Candela CS20 and 6120 tools from KLA-Tencor map the optical properties of the disk surface, producing highresolution
grayscale images of surface reflectivity and scattered light. Defects that have been identified in this manner
are further characterized according to the morphology. The imprint process was tested after optimizing both the disk
cleaning and adhesion layers processes that precede imprinting. An extended imprint run was performed and both the
defect types and trends are reported.
Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Acceptance
of imprint lithography for manufacturing will require demonstration that it can attain defect levels commensurate with
the requirements of cost-effective device production. This work summarizes the results of defect inspections of
semiconductor masks, wafers and hard disks patterned using Jet and Flash Imprint Lithography (J-FILTM). Inspections
were performed with optical and e-beam based automated inspection tools.
For the semiconductor market, a test mask was designed which included dense features (with half pitches ranging
between 32 nm and 48 nm) containing an extensive array of programmed defects. For this work, both e-beam inspection
and optical inspection were used to detect both random defects and the programmed defects. Analytical SEMs were
then used to review the defects detected by the inspection. Defect trends over the course of many wafers were observed
with another test mask using a KLA-T 2132 optical inspection tool. The primary source of defects over 2000 imprints
were particle related.
For the hard drive market, it is important to understand the defectivity of both the template and the imprinted disk.
This work presents a methodology for automated pattern inspection and defect classification for imprint-patterned
media. Candela CS20 and 6120 tools from KLA-Tencor map the optical properties of the disk surface, producing highresolution
grayscale images of surface reflectivity, scattered light, phase shift, etc. Defects that have been identified in
this manner are further characterized according to the morphology
The ever-growing demand for hard drives with greater storage density has motivated a technology shift from
continuous magnetic media to patterned media hard disks, which are expected to be implemented in future
generations of hard disk drives to provide data storage at densities exceeding 1012 bits per square inch. Jet and
Flash Imprint Lithography (J-FILTM) technology has been employed to pattern the hard disk substrates. This paper
discusses the infrastructure required to enable J-FIL in high-volume manufacturing; namely, fabrication of master
templates, template replication, high-volume imprinting with precisely controlled residual layers, dual-sided
imprinting and defect inspection. Imprinting of disks is demonstrated with substrate throughput currently as high as
180 disks/hour (dual-sided). These processes are applied to patterning hard disk substrates with both discrete tracks
and bit-patterned designs.
The ever-growing demand for hard drives with greater storage density has motivated a technology shift from
continuous magnetic media to patterned media hard disks, which are expected to be implemented in future
generations of hard disk drives to provide data storage at densities exceeding 1012 bits per square inch. Step and
Flash Imprint Lithography (S-FIL) technology has been employed to pattern the hard disk substrates. This paper
discusses the infrastructure required to enable S-FIL in high-volume manufacturing; namely, fabrication of master
templates, template replication, high-volume imprinting with precisely controlled residual layers, and dual-sided
imprinting. Imprinting of disks is demonstrated with substrate throughput currently as high as 180 disks/hour (dualsided).
These processes are applied to patterning hard disk substrates with both discrete tracks and bit-patterned
designs.
Imprint lithography has been included on the ITRS Lithography Roadmap at the 32, 22 and 16 nm nodes. Step and
Flash Imprint Lithography (S-FIL ®) is a unique method that has been designed from the beginning to enable precise
overlay for creating multilevel devices. A photocurable low viscosity monomer is dispensed dropwise to meet the
pattern density requirements of the device, thus enabling imprint patterning with a uniform residual layer across a field
and across entire wafers. Further, S-FIL provides sub-100 nm feature resolution without the significant expense of
multi-element, high quality projection optics or advanced illumination sources. However, since the technology is 1X, it
is critical to address the infrastructure associated with the fabrication of templates.
For sub-32 nm device manufacturing, one of the major technical challenges remains the fabrication of full-field 1x
templates with commercially viable write times. Recent progress in the writing of sub-40 nm patterns using commercial
variable shape e-beam tools and non-chemically amplified resists has demonstrated a very promising route to realizing
these objectives, and in doing so, has considerably strengthened imprint lithography as a competitive manufacturing
technology for the sub 32nm node. Here we report the first imprinting results from sub-40 nm full-field patterns, using
Samsung's current flash memory production device design. The fabrication of the template is discussed and the
resulting critical dimension control and uniformity are discussed, along with image placement results. The imprinting
results are described in terms of CD uniformity, etch results, and overlay.
Despite the remarkable progress made in extending optical lithography to deep sub-wavelength imaging, the limit
for the technology seems imminent. At 22nm half pitch design rules, neither very high NA tools (NA 1.6), nor
techniques such as double patterning are likely to be sufficient. One of the key challenges in patterning features with
these dimensions is the ability to minimize feature roughness while maintaining reasonable process throughput. This
limitation is particularly challenging for electron and photon based NGL technologies, where fast chemically
amplified resists are used to define the patterned images. Control of linewidth roughness (LWR) is critical, since it
adversely affects device speed and timing in CMOS circuits.
Imprint lithography has been included on the ITRS Lithography Roadmap at the 32 and 22 nm nodes. This
technology has been shown to be an effective method for replication of nanometer-scale structures from a template
(imprint mask). As a high fidelity replication process, the resolution of imprint lithography is determined by the
ability to create a master template having the required dimensions.
Although the imprint process itself adds no additional linewidth roughness to the patterning process, the burden of
minimizing LWR falls to the template fabrication process. Non chemically amplified resists, such as ZEP520A, are
not nearly as sensitive but have excellent resolution and can produce features with very low LWR. The purpose of
this paper is to characterize LWR for the entire imprint lithography process, from template fabrication to the final
patterned substrate.
Three experiments were performed documenting LWR in the template, imprint, and after pattern transfer. On
average, LWR was extremely low (less than 3nm, 3σ), and independent of the processing step and feature size.
Despite the remarkable progress made in extending optical lithography to deep sub-wavelength imaging, the limit for the
technology seems imminent. At 22nm half pitch design rules, neither very high NA tools (NA 1.6), nor techniques such
as double patterning are likely to be sufficient. One of the key challenges in patterning features with these dimensions is
the ability to minimize feature roughness while maintaining reasonable process throughput. This limitation is particularly
challenging for electron and photon based NGL technologies, where fast chemically amplified resists are used to define
the patterned images. Control of linewidth roughness (LWR) is critical, since it adversely affects device speed and
timing in CMOS circuits.
Imprint lithography has been included on the ITRS Lithography Roadmap at the 32 and 22 nm nodes. This technology
has been shown to be an effective method for replication of nanometer-scale structures from a template (imprint mask).
As a high fidelity replication process, the resolution of imprint lithography is determined by the ability to create a master
template having the required dimensions.
Although the imprint process itself adds no additional linewidth roughness to the patterning process, the burden of
minimizing LWR falls to the template fabrication process. Non chemically amplified resists, such as ZEP520A, are not
nearly as sensitive but have excellent resolution and can produce features with very low LWR. The purpose of this paper
is to characterize LWR for the entire imprint lithography process, from template fabrication to the final patterned
substrate.
Three experiments were performed documenting LWR in the template, imprint, and after pattern transfer. On average,
LWR was extremely low (less than 3nm, 3σ), and independent of the processing step and feature size.
Imprint lithography achieves high resolution patterning with low roughness by avoiding the tradeoff between pattern
quality and process throughput - a tradeoff that limits the capability of photolithography with chemically amplified
resists. This work demonstrates the use of ZEP520A electron-beam resist for fabrication of imprint masks (templates).
It is shown that high resolution, low roughness patterns can be robustly transferred from imprint mask to imprint resist,
and from imprint resist through etch transfer into the underlying substrate. Through improvements to the electron-beam
patterning process, 22 nm half-pitch patterns are routinely achieved with linewidth roughness (LWR) of just 2.6 nm, 3σ
Critical to the success of imprint lithography and Step and Flash Imprint Lithography (S-FIL®) in particular is the
manufacturing 1X templates. Several commercial mask shops now accept orders for 1X templates. Recently, there have
been several publications addressing the fabrication of templates with 32nm and sub 32nm half pitch dimensions using
high resolution Gaussian beam pattern generators. Currently, these systems are very useful for unit process
development and device prototyping. In this paper, we address the progress made towards full field templates suitable
for the fabrication of CMOS circuits.
The starting photoplate consisted of a Cr hard mask (≤ 15nm) followed by a thin imaging layer of ZEP 520A. The
EBM-5000 and the EBM-6000 variable shape beam pattern generators from NuFlare Technology were used to pattern
the images on the substrates. Several key specifications of the EBM-6000, resulting in improved performance over the
EBM-5000 include higher current density (70 A/cm2), astigmatism correction in the subfields, optimized variable stage
speed control, and improved data handling to increase the maximum shot count limitation.
To fabricate the template, the patterned resist serves as an etch mask for the thin Cr film. The Cr, in turn, is used as
an etch block for the fused silica. A mesa is formed by etching the non-active areas using a wet buffered oxide etch
(BOE) solution. The final step in the template process is a dice and polish step used to separate the plate into four
distinct templates.
Key steps in the fabrication process include the imaging and pattern processes. ZEP520A was chosen as the e-beam
resist for its ability to resolve high resolution images. This paper documents the resolution and image placement
capability with the processes described above. Although ZEP520A is slow relative to chemically amplified e-beam
resists, it is only necessary to pattern 1/16th the area relative to a 4X reduction mask. Write time calculations for 1X
templates have also been performed, and are compared to 4X photomasks.
The Step and Flash Imprint Lithography (S-FILTM) process uses field-to-field drop dispensing of UV curable
liquids for step and repeat patterning for applications where high-resolution mix-and-match overlay is desired.
Several applications, including patterned media, photonic crystals and wire grid polarizers, are better served by a
patterning process that prints the full wafer since alignment requirements are not so stringent. In this paper, a
methodology for creating high resolution thin templates for full wafer (or disk) imprinting is described. The methods
have been applied toward the imprinting of both photonic crystal and patterned media devices using a large area printing tool developed around the S-FIL process.
Imprint lithography has been shown to be an effective method for replication of nanometer-scale structures from a
template mold. Step-and-Flash Imprint Lithography (S-FILTM) employs a UV-photocurable imprint liquid, which
enables imprint processing at ambient temperature and pressure. The use of a transparent fused silica template facilitates
precise overlay. With this combination of capabilities, NIL is a multi-node technique that is suitable for advanced
prototyping of processes and devices to meet the anticipated needs of the semiconductor industry. However, since the
technology is 1X, it is critical to address the infrastructure associated with the fabrication of templates. An essential part
of this infrastructure is the capability to identify and repair template defects. Fused silica imprint templates are typically
produced from photomask substrates, and it is straightforward to make use of the tools and processes that have been
developed to repair commercial photomasks. However, the optical properties of the repaired region are of secondary
importance because S-FIL patterning is based on direct transfer of topography (rather than indirect transfer of an optical
image). As in conventional photolithography, both additive and subtractive repairs are required to correct a variety of
defect types. Repair techniques that are based on electron-beam induced chemical reactions have demonstrated the
capability to perform both additive (deposition) and subtractive (etching) processes at high resolution. This work is a
demonstration that electron-beam directed additive repair is capable of repairing fused silica template structures with
sub-100 nm resolution.
Imprint lithography has been included on the ITRS Lithography Roadmap at the 32 and 22 nm nodes. Step and
Flash Imprint Lithography (S-FILTM) is a unique method that has been designed from the beginning to enable precise
overlay for creating multilevel devices. A photocurable low viscosity monomer is dispensed dropwise to meet the
pattern density requirements of the device, thus enabling imprint patterning with a uniform residual layer across a field
and across entire wafers. Further, S-FIL provides sub-100 nm feature resolution without the significant expense of
multi-element, high quality projection optics or advanced illumination sources. However, since the technology is 1X, it
is critical to address the infrastructure associated with the fabrication of templates.
This paper addresses steps required to achieve resolution at or below 32 nm. Gaussian beam writers are now
installed in mask shops and are being used to fabricate S-FIL templates. Although the throughput of these systems is
low, they can nevertheless be applied towards applications such as unit process development and device prototyping.
Resolution improvements were achieved by optimizing the ZEP520A resolution and exposure latitude. Key to
the fabrication process was the introduction of thinner resist films and data biasing of the critical features. By employing
a resist thickness of 70 nm and by negatively biasing features as much as 18 nm, 28 nm half-pitch imprints were
obtained. Further processing improvements, including a high resolution lift-off method, show promise for achieving 20
nm half pitch features on a template.
Advanced microprocessors require several (eight or more) levels of wiring to carry signal and power from transistor to transistor and to the outside world. Each wiring level must make connection to the levels above and below it through via/contact layers. The dual damascene approach to fabricating these interconnected structures creates a wiring level and a via level simultaneously, thereby reducing the total number of processing steps. However, the dual damascene strategy (of which there are several variations) still requires around twenty process steps per wiring layer. In this work, an approach to damascene processing that is based on step-and-flash imprint lithography (SFIL) is discussed. This imprint damascene process requires fewer than half as many steps as the standard photolithographic dual damascene approach. By using an imprint template with two levels of patterning, a single imprint lithography step can replace two photolithography steps. Further efficiencies are possible if the imprint resist material is itself a functional dielectric material. This work is a demonstration of the compatibility of imprint lithography (specifically SFIL) with back-end-of-line processing using a dual damascene approach with functional materials.
Computer simulators are ideal tools to study complex process spaces,
but current lithography simulators are based on empirically-derived
continuum approximations and thus are unsuited for investigating
properties like line edge roughness (LER) because they do not incorporate molecular level details. A "mesoscale" simulation is
described that enables molecular level effects to be captured. This
technique is a compromise between accurate, but slow, atomic-level
simulations and the less accurate, but fast, continuum models. The
modeling of stochastic processes that lead to LER is enabled via use
of Monte Carlo techniques. Mesoscale simulation was used to study
the effects of added base quencher to overall photoresist performance. Simulations of acid/base kinetics with quencher loadings ranging from 0 to 20% show good qualitative agreement with
experimental data. Results show that decreasing aerial image quality
increases the root-mean-square (RMS) roughness, whereas increasing
base quencher loading improves LER, up to approximately 50% base. A
mechanism that explains line edge roughness stemming from acid gradients is proposed. This mechanism is supported by simulations
showing that the catalytic chain length varies inversely with acid
concentration. Simulation results show that base effectively limits
the influence of acid in low concentration regions. A critical drawback of using base additives is significantly reduced photospeed.
KEYWORDS: Etching, Polymerization, Molecules, Monte Carlo methods, Finite element methods, Lithography, Ultraviolet radiation, Molecular interactions, Scanning electron microscopy, Optical lithography
Step and Flash Imprint Lithography (SFIL) is a revolutionary next generation lithography option that has become increasingly attractive in recent years. Elimination of the costly optics of current step and scan imaging tools makes SFIL a serious candidate for large-scale commercial patterning of critical dimensions below ~50 nm. This work focuses on the kinetics of the UV curing of the liquid etch barrier and the resulting densification/contraction of the etch barrier as it solidifies during this step. Previous experimental work in our group has measured the bulk densification of several etch barrier formulations, typically about 9 % (v/v). It remains unknown, however, how much etch barrier contraction occurs during the formation of nano-scale features. Furthermore, it is of interest to examine how changes in monomer pendant group size impact imprinted feature profiles.
This work provides answers to these questions through a combination of modeling and experimental efforts. Densification due to the photopolymerization reaction and the resulting shift from Van der Waals’ to covalent interactions is modeled using Monte-Carlo techniques. The model allows for determination of extent of reaction, degree of polymerization, and local density changes as a function of the etch barrier formulation and the interaction energies between molecules (including the quartz template). Experimental efforts focus on a new technique to examine trench profiles in the quartz template using TEM characterization. Additionally, SEM images of imprinted images from various etch barrier formulations were examined to determine local contraction of the etch barrier. Over a large range of etch barrier formulations, which range from 10 - 20 % volumetric contraction as bulk materials, it was found that dense 100 nm lines printed approximately the same size and shape.
A variety of experimental evidence suggests that positive-tone chemically amplified photoresists have an intrinsic bias that might limit resolution during high-volume lithographic processing. If this is true, the implications for the semiconductor industry require careful consideration. The design concept of chemical amplification is based on generation of a chemically stable catalytic species in exposed regions of the photoresist film. The catalytic action of the photoproducts on the photoresist polymer causes a change in the dissolution rate in the irradiated regions of the film. Formation of a stable catalyst species is required for chemical amplification, but it has long been recognized that catalyst migration can produce a difference between the initial distribution of exposure energy and the final distribution of photoproducts. This difference, known as diffusion bias, depends on the photoresist chemistry and processing conditions. Diffusion bias is insensitive to exposure conditions, but it is possible to reduce catalyst migration through changes to resist formulation such as increasing the size of the catalyst molecule or processing conditions such as reducing the post exposure bake temperature. Another common approach to limiting diffusion bias is to incorporate base additives into the photoresist formulation to scavenge diffusing acid catalyst. All of these approaches to reducing catalyst migration generally reduce the catalytic efficiency of each photoproduct and therefore increase the total exposure dose required to pattern the film. Increases in required exposure dosage reduce the throughput of the exposure tools and can reduce the profitability of the manufacturing process. In this paper we present experimental results that are suggestive of an intrinsic photoresist bias. This diffusion bias sets a minimum resolution limit for chemically amplified resist systems that can be improved at the cost of reduced throughput and productivity.
KEYWORDS: Diffusion, Surface roughness, Line edge roughness, Polymers, Atomic force microscopy, Monte Carlo methods, Lithography, Photoresist materials, Molecules, Photomasks
As feature dimensions shrink, line edge roughness has become an increasing concern in semiconductor fabrication. There are numerous potential contributors to line edge roughness throughout the lithographic process and any measured roughness value on a printed device feature is, like the feature itself, a convolved function of every processing step. When the full lithographic process is used to study line edge roughness, it can be difficult to isolate the contribution to final roughness from any individual processing step or factor. To gain a more fundamental understanding of roughness generation that is specifically related to photoresist chemistry and formulation it is necessary to design experiments that separate out exposure related issues like mask dimension variation or local dose variation (“shot noise”). This can be accomplished using previously reported experimental protocols for bilayer film stack creation. The bilayer experimental approach has been used to study the effect of variations in such factors as post exposure bake time, photoacid generator loading, and developer concentration on roughness generation. Surface roughness of the developed film stacks is measured via atomic force microscopy. Surface roughness of developed bilayer film stacks may be considered analogous to sidewall roughness of printed features. An acrylate-based 193nm photoresist resin and an APEX-type resin are used in these experiments. In addition to experimental results, results from mesoscale lithographic simulations are used to gain further insight into diffusion induced roughness and how roughness in the latent image is modified during the development step.
The use of in situ spectroscopic ellipsometry (SE) is demonstrated as a technique for studying photoresist dissolution. Experiments carried out using a J.A.Woollam M-2000 ellipsometer and a custom built cell designed for in situ film measurements show that bulk dissolution rate measurements using the SE technique agree with dissolution rate data obtained using multiwavelength interferometry. SE is also demonstrated as a method for measuring thin film dissolution rates, water sorption, and films that swell. An additional focus of this work was the topic of interfacial “gel” layer formation during photoresist dissolution. Ellipsometry and interferometry were used to test several photoresist resins, with an emphasis on phenolic polymers. Single and multiple layer models were used to analyze the data, and were compared to model calculations predicting formation of a gel layer. For the materials studied, interfacial gel layer formation in low molecular weight phenolic polymers was not detected, within the resolution of the experimental techniques (< 15 nm).
KEYWORDS: Diffusion, Polymers, Glasses, Photoresist materials, Molecules, Adaptive optics, Temperature metrology, Systems modeling, Chemical reactions, Chemical analysis
In a chemically amplified resist the exposure energy is used to generate a catalytic species, which promotes a solubility-switching reaction during a post exposure processing step. Using an absorbed photon to generate a catalyst, instead of using it to directly cause a solubility-switching photochemical reaction, allows for much lower exposure doses to be used for patterning since the catalytic species can eventually promote multiple solubility-switching events instead of just one. Some level of catalyst mobility is necessary to achieve the amplification effect as the catalyst must move from reaction site to reaction site, but any catalyst mobility creates the possibility of movement from exposed regions into unexposed regions causing image blur or line width spreading. As the catalyst diffuses in the resist, it promotes chemical reactions; these chemical reactions complicate analyses of catalyst diffusion by changing the chemical environment of the diffusant. Thus, the material properties of the surrounding resin are changing, sometimes drastically, as the catalyst diffuses. In addition to simple changes in material type, the chemical reaction also generates a transient material state as reaction by-products either remain in the resist film or desorb. The variation in lifetime of this transient state is another factor that must be considered in a full analysis. This work reports a method to separate reaction effects from catalyst diffusion effects. Acid diffusion in polymers which are close structural analogues to poly(4-t-butyloxycarbonyloxystrene) (TBOCST), while being unreactive to diffusing acidic molecules, was studied. Specifically, the diffusion properties of photogenerated perfluorobutanesulfonic acid in the unreactive TBOCST analogues poly(4-isopropyloxycarbonyloxystyrene) and poly(4-neopentyloxycarbonyloxysytrene) are reported. Measuring and understanding diffusion in these analogue polymers provides insight into the more complicated, and more important, reaction-diffusion processes of TBOCST.
A mesoscale computer simulation for positive tone chemically amplified resists is reported. The simulation is based upon detailed models of resist function, and the required simulation inputs are fundamental quantities that can be measured independently of lithographic performance. These input parameters include resist formulation variables such as polymer molecular weight, photoacid generator loading, and activation energy of the deprotection reaction, as well as processing variables such as temperature and duration of bake steps and developer concentration. The simulation can model all major resist processing steps: spincoat and post apply bake, exposure, post exposure bake, dissolution, and drying. The general approach involves representing the photoresist film on a three dimensional lattice. Some lattice sites represent acid or solvent molecules and other lattice sites are strung together to form polymer chains. Each lattice cell is considered individually during the simulation, and each behaves in a manner consistent with its chemical identity. The mesoscale nature of the model allows investigation of stochastic effects that lead to line edge roughness, such as shot noise during exposure and the finite size of resist molecules. The model is based upon extensive experimental studies, and has now yielded results that are qualitatively correct for all major lithographic processing steps.
The perpetual advancement of materials and equipment for microlithography has resulted in reduction of critical dimensions to scales approaching the size of the molecules that constitute a photoresist. As a result, molecular scale effects such as line edge roughness have become an increasing concern for resist manufacturers and process engineers alike. Computer simulation of lithography has become an integral tool for both process optimization and development of new technologies. However, these simulation tools are generally based upon continuum approximation of the resist material, and are therefore unable to investigate molecular level variations. In this work we investigate the increasing importance of molecular level effects, especially in terms of the contributions of the post exposure bake (PEB) to feature roughness. A linkage has been made between a previously reported mesoscale simulation of the post exposure bake. The mesoscale simulation models discrete transport and reaction events during the post exposure bake to determine solubility variations on the scale of a single oligomeric chain. These solubility variations are then imported into PROLITH and transformed into photoresist topography using the familiar Mack dissolution model. This method has been used to simulate line-edge formation in an APEX-type resist. It is found that the distribution of photoproducts produced during exposure can lead to significant solubility variations during the PEB. These solubility variations can become manifest as roughness of resist feature topogrpahy.
This work focuses on understanding the dissolution phenomenon of surface inhibition, which is observed often in the development of novolac based resists. Many theories have been offered to explain this phenomenon, including a concentration gradient of resist components, oxidation of the surface, formation of a gel layer, and surface roughness effects. This work focuses on theories that propose a concentration gradient in resist components. A technique has been established to separate and analyze individual layers of thin films, and the concentration gradient in many resist components (residual solvent, low molecular weight chains, photoactive compound, density) has been compared to the observed dissolution rate. The results indicate that no significant concentration gradients exist in a 1mm novolac film, and that these hypotheses are inadequate to explain surface inhibition. Several other theories are explored, including oxidation of the surface, surface roughness effects, etc. The critical ionization dissolution model may offer an explanation for why surface inhibition is observed in novolac, but typically not in poly(p-hydroxystyrene).
In recent years the line end shortening (LES) phenomena, known also as line end pullback, has emerged as a significant issue in microlithography. The causes of LES include aerial image formation, simple pattern geometry considerations, and diffusion effects. This paper presents an overview of the main causes of LES with particular attention to contributions due to exposure gradients at the feature edge. The effect of exposure gradients on acid diffusion is described and results of experiments designed to study gradient effects are presented along with simulation of diffusion contributions to LES. Simulation and experiment suggest that transport-related resist bias mechanisms affect LES in complex ways. In addition to diffusion simulations, aerial image calculations are presented as simple demonstration of the contribution of the imaging system to LES.
KEYWORDS: Polymers, Computer simulations, Molecules, Diffusion, Lithography, Monte Carlo methods, Photoresist materials, Performance modeling, Deep ultraviolet, Polymer thin films
Computer simulation of microlithography is a valuable tool for both optimization of current processes and development of advanced techniques. The capability of a computer simulation is limited by the accuracy of the physical model for the process being simulated. The post exposure bake (PEB) of a deep-ultraviolet resist is one process for which an accurate physical model does not exist. During the PEB of a deep- ultraviolet resist, mass transport of photogenerated acid allows a single acid molecule to catalyze several deprotection reactions. Unfortunately, lateral transport of acid into unexposed regions of the resist complicates control over the critical dimension of printed features. An understanding of the factors that contribute to acid mobility would allow resist manufacturers to tailor resist transport properties to their needs. Molecular level models are particularly valuable when attempting to examine mechanistic phenomena and offer the best possibility of accurately predicting lithographic performance based upon the chemical formulation of a resist. This work presents a new, molecular scale simulation of the acid generation and transport process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.