EUV lithography continues to make scaling cost effective for chip manufacturers and allows Moore`s law to pursue. High NA EUV with its increased numerical aperture (NA) from 0.33NA to 0.55NA enables 1.7x smaller features and improved local CDU. This brings several benefits for advance chipmakers such as patterning cost reduction due to multi-patterning, reduced defect density as a result of process simplification and shorter cycle time via mask reduction. Currently, there are multiple high NA EUV systems (EXE:5000) which completed the built and qualification in the ASML factory. The first performance data is being collected via one of these high NA EUV systems. This paper will cover the performance results of the high NA EUV platform (EXE:5000) on imaging and overlay based on the initial findings from common learning collaboration. Furthermore, the progresses towards future high NA EUV systems will be described.
To enable cost-effective scaling of technology nodes and extend Moore’s law for at least another decade, ASML has developed the High NA EUV platform. With an increase of the numerical aperture (NA) from 0.33NA to 0.55NA, High NA EUV brings multiple benefits to the semiconductor market, such as reduction of process complexity, yield improvement and higher resolution. This paper presents the High NA EUV ASML roadmap, providing a comprehensive overview of the systems being developed to support our customers’ nodes, and showing how we maximize 0.33NA (NXE) and 0.55 NA (EXE) platforms commonality, making High NA an evolutionary step on EUV technology. We will also give an overview of the progress and status of the first High NA EUV platform, the EXE:5000. Several systems have been now fully built in the ASML factory, which deliver the first performance data and integration learnings to support shipment to our customers. In parallel, first common learnings from the imec - ASML joint High NA Lab will be reported out, enabling early process development and accelerating the industry eco-system (mask, resist), as it is essential to the successful introduction of High NA EUV.
Cost-effective scaling of semiconductor devices is enabled by High NA EUV technology, securing a solution for several upcoming technology nodes. This new technology offers improvements in resolution and overlay capabilities, while we need to take the impact of the half-field exposure (inherent to anamorphic nature of High NA optics) into account. This is all done while meeting the tighter on-product performance requirements in future nodes.
This paper will describe the on-product performance requirements and corresponding capabilities of the High NA EUV system at the advanced nodes; especially from overlay, imaging and focus point-of-view. This will include half-field exposure use-cases that provide increased flexibility in overlay control while optimizing the field-layout to maximize productivity. Stitching of two half-fields will also be discussed from both overlay and imaging perspective.
Finally, we will review the requirements of the industry eco-system.
Cost-effective scaling of semiconductor devices is enabled by High NA EUV technology, securing a solution for several technology nodes to come. High NA is an evolutionary step for EUV technology with new optics that increase the numerical aperture to 0.55NA. This paper discusses the benefits of High NA technology from a process complexity reduction point of view as well as the positive impact this will bring to the industry in terms of cost of technology reduction. The paper also explains how the learnings from current generation EUV tools are systematically implemented on High NA to achieve the highest possible maturity level at introduction. The High NA technology is positioned to support development work on advanced nodes (both for logic and memory) starting in 2023 and will support HVM from 2025. This paper will show the first results obtained during development and integration phase of various modules of the first High NA system (EXE:5000).
With the introduction of High NA EUV technology the continuation of scaling semiconductor devices in a cost efficient manner will be secured for several technology nodes to come. In this paper we will discuss the benefits of High NA technology from a process complexity reduction point of view as well as the positive impact that this new lithography platform will bring to the industry in terms of cost of technology reduction.
With the increase of process complexity in advanced nodes, the requirements of process robustness in overlay metrology continues to tighten. Especially with the introduction of newer materials in the film-stack along with typical stack variations (thickness, optical properties, profile asymmetry etc.), the signal formation physics in diffraction-based overlay (DBO) becomes an important aspect to apply in overlay metrology target and recipe selection.
In order to address the signal formation physics, an effort is made towards studying the swing-curve phenomena through wavelength and polarizations on production stacks using simulations as well as experimental technique using DBO. The results provide a wealth of information on target and recipe selection for robustness. Details from simulation and measurements will be reported in this technical publication.
In order to meet current and future node overlay, CD and focus requirements, metrology and process control performance need to be continuously improved. In addition, more complex lithography techniques, such as double patterning, advanced device designs, such as FinFET, as well as advanced materials like hardmasks, pose new challenges for metrology and process control. In this publication several systematic steps are taken to face these challenges.
In this paper we describe the joint development and optimization of the critical dimension uniformity (CDU) at an advanced 300 mm ArFi semiconductor facility of SK Hynix in the high volume device. As the ITRS CDU specification shrinks, semiconductor companies still need to maintain high wafer yield and high performance (hence market value) even during the introduction phase of a new product. This cannot be achieved without continuous improvement of the on-product CDU as one of the main drivers for yield improvement. ASML Imaging Optimizer is one of the most efficient tools to reach this goal. This paper presents experimental results of post-etch CDU improvement by ASML imaging optimizer for immature photolithography and etch processes on critical features of 20nm node. We will show that CDU improvement potential and measured CDU strongly depend on CD fingerprint stability through wafers, lots and time. However, significant CDU optimization can still be achieved, even for variable CD fingerprints. In this paper we will review point-to-point correlation of CD fingerprints as one of the main indicators for CDU improvement potential. We will demonstrate the value of this indicator by comparing CD correlation between wafers used for Imaging Optimizer dose recipe development, predicted and measured CDU for wafers and lots exposed with various delays ranging from a few days to a month. This approach to CDU optimization helps to achieve higher yield earlier in the new product introduction cycle, enables faster technology ramps and thereby improves product time to market.
With the recent introduction of immersion lithography, optical systems with numerical aperture (NA) reaching 1.0 or
larger can be realized. Various Resolution Enhancement Techniques (RET) such as various phase shift mask approaches
have been used to push even further the resolution limit by reducing k1 scaling factor, including Double Patterning
Technology. However, with the improved resolution by Hyper-NA and Low-k1, lithographers face the problem of
decreasing Depth of Focus and in turn reduced process latitude. Throughout the industry, Process Window has been
widely used as an analytical tool to evaluate process latitude for a given design feature size; therefore, the ability to
accurately and efficiently derive a Process Window within which a process can run on target and in control is
fundamental to Low-k1 lithography. Accuracy of Process Window derivation is based on the ability to accurately
measure and model the physical dimension of the design feature and how it changes in response to changes in process
parameters. In the case of lithography, the Process Window of a desired critical dimension target is bounded by
changes in exposure energy and defocus. To be able to accurately measure the physical dimension of the design
feature remains a big challenge for metrologists especially in the presence of other process noise. In this work, it is
shown that the precision of PW measurement can be enhanced by using CD-ACD (Average CD) function to measure a
FEM (Focus-Exposure matrix) wafer. ACD is a function, which simultaneously measures several points, thus
providing higher precision measurement in comparison to the conventional single point measurement. As seen in this
work, by using ACD measurements to derive the Process Window, there is a significantly improvement in the stability
of the derived Process Window. Also reported is the MPPC (Multiple Parameters Profile Characterization) *1), a
function which provides the ability to extract pattern shape information from a measured e-beam signal. This function
together with the ACD function enables PW measurement with high precision, which also takes into account the actual
pattern shape. PW derived from conventionally measured data was compared with PW derived from ACD and MPPC
measurement and we were able to demonstrate an improvement of more than 30% in precision of PW determination.
We present results from investigating critical challenges of pitch doubling through Double Patterning to meet manufacturing requirements for 32nm 1/2 pitch on 1.2NA lithography system. Simulations of lithography alternatives identified manufacturable Dose-Focus latitudes for a dual-line positive process option which led to an experimental setup based on a single hardmask process. Key challenges of the selected process relate to the presence or absence of the hardmask layer during 1st or 2nd patterning step. This has an effect on wafer topography, process setup, etch bias and wafer litho-to-etch CDU offsets, which will create two final CDU populations. Therefore, there are two metrology challenges, separation between the two CD populations and overlay-at-resolution using CDSEM. They were addressed by designing appropriate CD and overlay targets and by implementing an adequate dense sampling allowing modeling of wafer and field CD distributions. We introduced a new CDU model to calculate double patterning budgets based on defining CD from its edges and pooling CD variance from two adjacent patterns within 2*Pitch distance. For a single line and 1.35NA system, the model predicted 3.1nm variance with mask CDU and etch bias being the major contributors. We achieved an experimental resolution of 32-nm 1/2 pitch on 1.2NA system, which equals 0.20k1. Experimental results at 32-nm resolution were confirmed in a pre-manufacturing environment on a full lot of 24 wafers, with raw CDU of 6nm (3s). After modeling and correcting for interfield (wafer) and intrafield spatial distributions, CDU was improved to 2.5nm (3s). Best overlay results equaled scanner SMO capability of ~7nm (mean+3s).
KEYWORDS: Metrology, Scanning electron microscopy, Semiconducting wafers, Manufacturing, Finite element methods, Lithography, Resolution enhancement technologies, Design for manufacturing, Electroluminescence, Process control
Resolution enhancement techniques (RET), immersion lithography, and Design for Manufacturing (DFM) are all geared towards increasing the lithographic process window to enable the ever more difficult processing demands of semiconductor manufacturing. It is well understood that there is a trade-off between depth of focus (DOF) and exposure dose latitude (EL), as well as best focus (BF) and best exposure dose (BE), in which a Manufacturable Process Window (MPW) must be established and centered. Oftentimes it is overlooked that this balance needs to be maintained across multiple dimensions including spatial (e.g. across field), density (e.g. dense, iso), temporal, tool-to-tool, etc. To maintain this critical balance, both test wafers and product wafers need to be monitored and analyzed in order to support Advanced Process Control (APC) and Automated Equipment Control (AEC). In this work we establish a method to optimize process window by using an integrated analysis workstation based on measurements from both optical and e-beam metrology. By applying this method, we demonstrate a MPW on daily FEM and nominal wafers already used at IMEC for daily process qualification.
The immersion effects on lithography-system performance have been investigated using a ASML TWINSCAN XT:1250Di immersion-ArF scanner (NA=0.85) and Tokyo Electron CLEAN TRACK ACT12 at IMEC. Effects of immersion-induced-temperature change and effects of material-top surface are discussed in this paper. The wafer-stage temperature is measured during the leveling-verification tests and compared with the observed residual-focus-error change. The results indicate that stage-temperature change under an immersion environment can induce a focus change. In this paper, it was proved that the improved-temperature-control stage is effective to mitigate the immersion-specific focus change. The immersion effect on overlay is also investigated as a function of material top surface. It was demonstrated that the effect of material-receding-contact angles on the grid-residual errors (non-correctable errors) is small in the latest-immersion-hardware configuration of the scanner. However, there was a tendency that material with a smaller-receding-contact angle has a larger-wafer scaling although it is a correctable parameter. This can be caused by the first-layer wafer shrinkage due to more water evaporation on the more-hydrophilic surface. The immersion effect on scanner-dynamic performance is then investigated by changing the material-top surface and the scan speed of the scanner. It was turned out that the scan synchronization is not much affected by differences of material receding-contact-angles for the new configuration of the scanner. Moving-standard deviation of the synchronization error in scanning direction (y-direction) is slightly more affected by increased scanning speed, although it stays within specification even at a maximum scan speed of 500 mm/sec. Finally the immersion effects on resist-profile uniformity are examined. It was found that lower-leaching-film stacks (with a top coat or a lower leaching resist) seem to mitigate the variation of resist-profile uniformity.
Contact hole lithography presents a variety of challenges for process development. Measurement of the bottom of the hole presents the most difficulty, and metrology error has traditionally been much larger for contact (3D) metrology than for line/space structures. In light of process windows being significantly smaller for contact holes than for line/space structures, it is difficult to maintain good Contact CD characterization of novel methods requires CD correlation to existing metrology tools including CD linearity across a range of pitches and target CDs. In this paper, we will present contact CD linearity results as characterized by integrated ODP scatterometry, where measurements of hole CD and profile have been made following the lithography process, in a method nondestructive to the 193nm resist pattern on the wafer. The CD linearity is characterized for a 90nm technology device film stack of patterned photoresist (PR), bottom anti-reflective coating (BARC), oxide, and SiC on top of a silicon (Si) substrate. The pattern densities range from dense to semi-dense to isolated, and the grating structures include circular holes aligned in an orthogonal pattern on the wafer. Measurement stability results are also shown, and correlation to CD-SEM and cross-section SEM is provided as a reference metrology. The results of the experiment show that ODP can be used successfully to not only characterize contact CD linearity, but to also monitor film thickness and profile variation, providing a valuable solution for contact hole process development.
For the 100nm technology node, the electrical measurement technique continues to play an important role as a metrology tool for generating large volumes of unbiased and statistically significant CD data. However, the ECD offset of approximately 35 to 40nm between the SEM CD after etch and the electrically measured CD obtained with the current standard ELM process, is a potential limitation for applying ELM to feature sizes below 65nm. Is this ECD offset process related or have we reached the limitation of the metrology technique fundamental to ELM? These are questions we attempt to answer in this paper. This paper attempts to answer these questions by looking at the fundamentals of the metrology technique and the influence of substrate material on the ECD offset. A calibration of the offset is performed by benchmarking ECD against different CD-SEM measurement algorithms. We re-examine the basic assumption that is fundamental to the electrical measurement technique and examine if this still holds true when the CD has become smaller although the substrate thickness has remained constant? In conclusion we report the parameters influencing the ECD to physical measurement bias and the limitations of this measurement technique.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.