This presentation will provide an overview of the industrialization of EUV Lithography, including the latest data on imaging, overlay, defectivity and source power/ productivity.
The focus of the presentation will be on the NXE:3400B scanner, ASML’s fifth generation EUV lithography tool intended for the sub 10 nm volume production
Furthermore the ASML roadmap, NXE:3300B and NXE:3350B field data and field performance data will be shown
The optical train is a key sub-system of each lithography scanner. The single patterning resolution limit of a scanner is determined by the characteristics and performance of its imaging system consisting of illumination and projection optics. The most relevant performance parameters of the illumination system are the maximum achievable setting flexibility, off-axis imaging capability (sigma) and pupil fill ratio (PFR). The projection optics key drivers numerical aperture (NA), aberration level, and stray light determine resolution limit and image quality of the scanner. In EUV lithography, optimizing aerial image contrast and image overlay is of particular importance to achieve the required resolution and edge placement performance of the scanner because stochastic effects degrading the initial image as e.g. resist blur and photon shot noise are still comparably strong.
In this paper, we present an overview on the new features of the NXE:3400 EUV optical system designed to improve resolution limit, contrast and overlay performance of the NXE:3400 scanner. The illumination system features a novel design based on a large number of switchable facetted mirrors which enables an unprecedented setting flexibility and reduced pupil fill ratio. Furthermore, the off-axis imaging capability of the illuminator has been extended to the full NA which in combination with the reduced PFR improves the single patterning resolution limit of the NXE:3400 by approximately 20% down to 13nm. In addition, by exploiting the increased flexibility of the 3400 illumination system, we demonstrate the ability to further correct for 3D mask effects, and excellent matching to the NXE:3350 system. The projection optics features a NA of 0.33 with significantly reduced aberration level as compared to the precedent 3350 projection optics. In particular, the non-correctable errors impacting scanner overlay, and the wavefront RMS impacting image contrast have been substantially reduced. Keeping the design concept, the improvements have been implemented such that a seamless matching to the 3350 projection optics is guaranteed.
Finally, we present NXE:3400 printing results to verify the imaging performance of the NXE:3400 optical system in resist. NXE:3400B wafer prints demonstrate excellent and consistent imaging performance across several systems in line with the discussed improvements of the optical train.
With the introduction of its fifth-generation EUV scanner, the NXE:3400B, ASML has brought EUV to High-Volume Manufacturing for sub-10nm node lithography. This paper presents lithographic performance results obtained with the NXE:3400B, characterized by an NA of 0.33, a Pupil Fill Ratio (PFR) of 0.2 and throughput capability of 125 wafers per hour (or wph).
Advances in source power have enabled a further increase of tool productivity requiring an associated increase of stage scan speeds. To maximize the number of yielding die per day a stringent Overlay, Focus, and Critical Dimension (CD) control is required. Tight CD control at improved resolution is obtained through a number of innovations: the NXE:3400B features lower aberration levels and a revolutionary new illumination system, offering improved pupil-fill ratio and larger sigma range. Overlay and Focus are further improved by implementation of a new wafer clamp and improved scanner controls.
The NXE:3400B also offers full support for reticle pellicles.
The semiconductor industry has adopted water-based immersion technology as the mainstream high-end litho enabler
for 5x-nm and 4x-nm devices. Exposure systems with a maximum lens NA of 1.35 have been used in volume
production since 2007, and today achieve production levels of more than 3400 exposed wafers per day. Meanwhile
production of memory devices is moving to 3x-nm and to enable 38-nm printing with single exposure, a 2nd generation
1.35-NA immersion system (XT:1950Hi) is being used. Further optical extensions towards 32-nm and below are
supported by a 3rd generation immersion tool (NXT:1950i).
This paper reviews the maturity of immersion technology by analyzing productivity, robust control of imaging, overlay
and defectivity performance using the mainstream ArF immersion production systems. We will present the latest results
and improvements on robust CD control of mainstream 4x-nm memory applications. Overlay performance, including
on-product overlay control is discussed. Immersion defect performance is optimized for several resist processes and
further reduced to ensure high yield chip production even when exposing more than 15 immersion layers.
This paper discusses the current performance and the evolution of five generations TWINSCAN immersion scanning
exposure tools. It is shown that production worthy overlay and focus performance can be achieved at high scan speeds.
The more critical part for immersion tools is related to defects, but also here improvements resulted in production
worthy defect levels. In order to keep the defect level stable special measures are needed in the application of wafers.
Especially Edge Bead Removal (EBR) design and wafer bevel cleanliness are important.
A second phase in the immersion era is starting with the introduction of ultra high NA (NA >1) systems. These systems are targeting for 45 nm node device production and beyond. ASML TWINSCAN XT:1700i features a maximum NA of 1.2 and a 26x33 mm2 scanner field size. The projection lens is an in-line catadioptric lens design and the AERIAL XP illumination system enables conventional an off-axis illumination pupil shapes in either polarized or un-polarized modes at maximum light efficiency. In this paper a description and a performance overview of the TWINSCAN XT:1700i is given. We will present and discuss lithographic performance results, with special attention at low-k1 imaging using high NA and polarized illumination. Overlay, focus and productivity performance will also be presented.
This paper presents the progress of the 157 nm lithography program at ASML and Carl Zeiss SMT in 2003. The major technical problems are solved and the first full field 157 nm scanner was shipped to the industry for starting the process development. The progress in CaF2 material as well as production of CaF2 lens elements allow system to be produced for the 55 nm node. Contamination is shown to be at very low levels and a solution to reduce the influence of hard pellicles below 1 nm distortion is found. The first imaging results show a high depth of focus for 75 nm dense lines.
In this paper we present a status update of the exposure tool developments for sub 65 nm CD’s. Main development path is 157-nm lithography. ASML follows a two step approach volume will be presented. Step 1 is based on the Micrascan step and scans platform and step 2 is based on the TWINSCAN platform. The progress of the development and first results on prototypes are discussed. This includes optics, purging, and pellicle status. The impact of CaF2 birefringence (intrinsic and stress induced) on lens performance is evaluated. Experimental data on optical path purging is presented. The pellicle status is reviewed, and results of hard pellicle testing in KrF scanners are presented. For the Micrascan system, first imaging and overlay results are presented.
ASML's recently announced TWINSCAN$TM) lithography platform is specifically designed to meet the specific needs of handling and processing 300 mm substrates. This new platform, already supporting a family of Step & Scan lithography systems for I-line and 248 nm DUV, is designed to further support optical lithography at its limits with systems for 193 nm and 157 nm. The conflicting requirements associated with higher productivity on one side, and more extensive metrology on the other, have led to the development of a platform with two independent wafer stages operating in parallel. The hardware associated with exposure, and the hardware and sub-systems required for metrology, are located in two separate positions. While a wafer is exposed on one stage, wafer unload/load and measurements of the horizontal and vertical wafer maps are done in parallel on the second stage. After the two processes are completed, where the exposure sequence typically is the longest, the two stages are swapped. The process is continued on the second stage, while the first stage unloads the exposed wafer and starts the process again.
While the semiconductor manufacturing community is preparing for the transition from 0.35micrometers to 0.25micrometers technology, lithography equipment suppliers are preparing for the shift from step-and-repeat to step-and-scan systems. In addition, most wafer stepper users are planning to change from i-line to KrF laser wavelength technology. The question, however, is what are the advantages and disadvantages of scanners over steppers in a production environment. In this paper, we discuss the two different technologies using the following criteria: (1) throughput/cost of ownership, (2) CD control/depth of focus, (3) distortion and overlay. 248 nm lithography will be used for the 0.25micrometers process rule regime in combination with i-line systems being used for 50 to 70 percent of the lithography steps to reduce cost. Therefore, an ideal match is required between i-line systems and their DUV critical layer counterparts. For this reason, the economic equation of step-and-scan is determined by the total picture of matched DUV and i-line scanners. However, the comparisons between non-laser-based scanners and steppers and laser-based scanners and steppers are different. This paper discusses this subject using a combination of theoretical modeling and measured data. Imaging data from a new, DUV, double telecentric, 0.4 to 0.57 variable NA wafer stepper equipped with a variable coherence/annular illuminator is shown; thus proving that good imaging data at 0.25micrometers resolution at moderate cost is possible using wafer steppers.
Trends in optical lithography lead to 0.35 micrometers resolution as being the next critical linewidth for semiconductor production. The 64 Mb DRAM technologies will require this. Current i-line lithography techniques lack sufficient production tolerance for 0.35 micrometers . To achieve greater depth of focus and exposure latitude, a number of new techniques are being explored. These include phase shifting masks, multiple focal plane exposures, surface imaging, DUV lithography as well as off-axis illumination. This paper examines the contribution of off-axis illumination towards the improvement of process latitude. Experimental data using 0.54 and 0.48 NA lenses are presented showing the relative advantages and disadvantages of this technique. This data is evaluated for its potential production use for 0.35 micrometers lithography. The effect of off-axis illumination is evaluated for isolated lines, dense lines, sagittal/tangential lines, and contact features. To examine thin film effects, a number of commercially available photoresist processes are used for these tests. In addition, novel solutions to limitations encountered with off-axis illumination are modeled and experimentally verified.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.