With the advent of inverse lithography technology, the landscape of electron beam lithography has undergone a paradigm shift, transitioning from a single variable-shaped beam to a multi-beam writer. Conversely, in the realm of mask process correction (MPC), the majority of techniques continue to depend on the manipulation of figures and edges to adjust shape boundaries. We have developed a MPC system that is integrated within the multi-beam writer. This system leverages the rasterized pixel data for exposure, which is conventionally accessible within the writer itself. We describe how our inline MPC works in the pixel domain instead of geometry domain to improve pattern fidelity of curvilinear shapes without additional turnaround time.
Electron multi-beam mask writers play a key role to expand EUV lithography usage in device mass production. It was in May 2021 when the MBMTM-2000 was released by NuFlare Technology, Inc. Since then, it has been installed at sites of mask manufactures. Accordingly, it supports their mask development and production. On top of reliable inherited mask writing technologies, newly developed technologies, which have achieved objectives, enable the multi-beam writer to make many contributions. In June 2022, the MBMTM-2000PLUS for the 2 nm node device development eventually debuted. The increased beam current density, 3.2 A/cm2 allows this latest mask writer to print a mask with productive writing time even using lower sensitivity resists. A charge effect reduction (CER) is a hardware solution to reduce amount of the resist surface charge. Thanks to adapting CER2.0, which is upgrade version of CER1.0, the image placement error caused by the resist surface charge effect in the MBMTM-2000PLUS is reduced by 50% as compared with the MBMTM-2000 with optics CER1.0. This successor also takes over essential functions including pixel level dose correction (PLDC), charge effect correction (CEC), and glass thermal expansion correction (GTEC) from the MBM-2000. In this paper, the current performance of MBM series mask writers and the key architectures above-mentioned have been discussed. We also explain our strategies to keep continuous throughput improvement by optimizing items such as beam size, data transfer speed, beam current density and so on. Our roadmap indicates that NuFlare Technology, Inc. makes contribution to the high-NA EUV ecosystem.
Multi-beam writer endowed photomask manufacturers a freedom in curvilinear design without concern for photomask write time. However, a similar concern is now happening in edge-based Mask Process Correction (MPC). When curvilinear pattern gets MPC, the number of figures tend to increase dramatically and takes longer time to process, just like VSB writer experienced shot count and write time increase before. NuFlare Technology Inc. has been developing inline, pixel-based MPC software and hardware embedded on multi-beam writer. This paper describes how our inline, pixel-based MPC achieves pattern fidelity enhancement and zero additional turn-around-time at the same time.
Multi-beam mask writer MBM-2000PLUS has been released for the 3nm+ technology node. It is designed with the capability of low dose sensitivity resist over 150 uC/cm^2 in the writing of leading-edge EUV and optical blanks without constraint by beam exposure time. Furthermore, taking advantage of multi-beam writing strategy and its high beam current density, ultra-high throughput writing is also available by selective pixel size. This selective pixel size will make it possible to product both leading edge and middle grade masks efficiently. In this paper, the relation between the pixel size, throughput and precision is discussed and demonstrated by writing experiments in MBM-2000PLUS.
MBM-2000, the latest multi-beam mask writer of Nuflare Technology, Inc. (NFT), have achieved reasonable writing time in mask fabrication of 3nm semiconductor technology node, which demand small curvilinear patterns in EUV masks and curvilinear OPC patterns in optical masks. For less line edge roughness and better pattern fidelity, however, the demand of lower dose sensitivity resists keeps increasing. In such a situation, the beam exposure time can be the main bottleneck of the writing time. In order to meet the demand, NFT has developed MBM-2000PLUS, which achieved high beam current density of beamlets ×1.3 larger than MBM-2000. As the result, the writing time became constant up to 170 μC/cm2 exposure dose condition without confinement by beam exposure time. Even at the region of exposure dose confinement, the writing time became 20% less than MBM-2000 at 200 μC/cm2 dose condition. In addition, charge effect reduction (CER), which is an electron optics system reducing resist charge effect, has been upgraded from MBM-2000 for improving image placement accuracy. Furthermore, MBM-2000PLUS inherits pixel level dose correction (PLDC) function from MBM-2000 as the solution for less edge placement error and better pattern fidelity. In this paper, those features of MBM- 2000PLUS are highlighted including improvement of pattern fidelity by PLDC function. In order to verify PLDC, a new methodology of quantitative evaluation of pattern fidelity using sine-shape pattern is introduced.
MBM-2000, the latest multi-beam mask writer of Nuflare Technology, Inc. (NFT), have achieved reasonable writing time in mask fabrication of 3nm semiconductor technology node, which demand small curvilinear patterns in EUV masks and curvilinear OPC patterns in optical masks. For less line edge roughness and better pattern fidelity, however, the demand of lower dose sensitivity resists keeps increasing. In such a situation, the beam exposure time can be the main bottleneck of the writing time. In order to meet the demand, NFT has developed MBM-2000PLUS, which achieved high beam current density of beamlets ×1.3 larger than MBM-2000. As the result, the writing time became constant up to 170 μC/cm2 exposure dose condition without confinement by beam exposure time. Even at the region of exposure dose confinement, the writing time became 20% less than MBM-2000 at 200 μC/cm2 dose condition. In addition, charge effect reduction (CER), which is an electron optics system reducing resist charge effect, has been upgraded from MBM-2000 for improving image placement accuracy. Furthermore, MBM-2000PLUS inherits pixel level dose correction (PLDC) function from MBM-2000 as the solution for less edge placement error and better pattern fidelity. In this paper, those features of MBM2000PLUS are highlighted including improvement of pattern fidelity by PLDC function. In order to verify PLDC, a new methodology of quantitative evaluation of pattern fidelity using sine-shape pattern is introduced.
NuFlare Technology, Inc. has developed the charge effect correction (CEC) system, in which the predicted placement errors caused by resist surface charge effect are compensated. Due to good reproducibility of these exposure-dose-dependent and time-dependent behaviors, CEC has successfully improved image placement accuracy in single variable-shaped electron beam mask (EBM) writers. The physical mechanism of resist charging, however, has remained unclear. Considering large difference in beam current densities between EBM and multi-beam mask writers (MBM), it is concerning that unexpected charge phenomena occur. Therefore, it is required to reveal the mechanism and to show the resist charging is still predictable in MBM by a common charging model. In order to provide validity of CEC consistently with EBM and MBM, the surface charge densities caused in EBM writers and MBM-2000 were experimentally evaluated from those placement errors. The charge densities strongly depend on the resist thickness meanwhile they do not change significantly by the writers. Furthermore, equations to reproduce those experimental results are proposed in terms of a physical model, which takes into account low-energy secondary electrons drawn to resist surface by an already-existing surface charge and vertical flow of the charge in the resist.
In this paper, we will report the modeling of behaviors of low-energy secondary electrons (LSEs) in a charge effect correction system (CEC), which compensates image placement errors predicted by writing layout data, on mask blanks with and without a charge dissipation layer (CDL). For the demand of low line edge roughness and high critical dimension uniformity, the more dose-sensitivity of resist is lowered, the more a contribution of LSE is made in charge effect, because the number of primary electrons generating LSEs also increases. In such a situation of advanced lithography, we have tackled challenges of CEC system in terms of blanks with and without CDL. On blanks without CDL, CEC needs to predict the complicated charge distribution including that of LSE which is affected by electromagnetic field of optical system and already-existing resist surface charge. On blanks with CDL, CEC needs to predict LSE charge diffusing on the resist surface. In order to address these challenges, NuFlare Technology (NFT) has developed models of CEC on blanks with and without CDL. In order to verify these models, we evaluated the surface charge effect in the writing on blanks with resist of 100 μC/cm2 dose-sensitivity on electron beam mask writer EBM-9000/9500. Furthermore, as another solution besides CEC and CDL, NFT has developed a charge effect reduction (CER) system which prevents LSEs from re-entering into the resist surface. We have verified CER by comparing the experimental results between EBM9500 and EBM9500PLUS, which is the NFT’s latest variable-shaped beam with CER system.
This paper introduces a simple physical model to quantitatively explain resist surface charge effect observed in EBM- 9500PLUS, our latest VSB mask writer designed for 7 nm+ generation. The model takes into account secondary electrons drawn to resist surface by an already-existing surface charge, and vertical diffusion of positive charge from resist surface to inner resist. In order to verify the model, we experimentally evaluated the surface charge densities after beam exposure on resists of different thickness (from 80 nm to 300 nm) and different dose sensitivities (from 7 μC/cm2 to 100 μC/cm2). The introduced model successfully reproduced the exposure-dose-dependent and time-dependent behaviors of those surface charge densities experimentally obtained. The model enables us to predict the amount of surface charge, and serves as one of the barometers to select the preferable resist thickness and its dose sensitivity under the pattern density and the required IP accuracy for the given product layouts. Furthermore, although the mechanism of charging had been unclear for a decade or more, the model finally provides a quantitative physical validity of our charge effect correction (CEC) system.
We propose a new concept of tuning a point-spread function (a “kernel” function) in the modeling of electron beam
lithography using the machine learning scheme. Normally in the work of artificial intelligence, the researchers focus on the
output results from a neural network, such as success ratio in image recognition or improved production yield, etc. In this
work, we put more focus on the weights connecting the nodes in a convolutional neural network, which are naturally the
fractions of a point-spread function, and take out those weighted fractions after learning to be utilized as a tuned kernel.
Proof-of-concept of the kernel tuning has been demonstrated using the examples of proximity effect correction with 2-layer
network, and charging effect correction with 3-layer network. This type of new tuning method can be beneficial to give
researchers more insights to come up with a better model, yet it might be too early to be deployed to production to give better
critical dimension (CD) and positional accuracy almost instantly.
KEYWORDS: Photomasks, Lithography, Electron beams, Logic, Electron beam melting, Line edge roughness, Electron beam lithography, Extreme ultraviolet, Optical lithography, LCDs
Semiconductor scaling is slowing down because of difficulties of device manufacturing below logic 7nm
node generation. Various lithography candidates which include ArF immersion with resolution enhancement
technology (like Inversed Lithography technology), Extreme Ultra Violet lithography and Nano Imprint
lithography are being developed to address the situation. In such advanced lithography, shot counts of mask
patterns are estimated to increase explosively in critical layers, and then it is hoped that multi beam mask
writer (MBMW) is released to handle them within realistic write time. However, ArF immersion technology
with multiple patterning will continue to be a mainstream lithography solution for most of the layers. Then,
the shot counts in less critical layers are estimated to be stable because of the limitation of resolution in ArF
immersion technology. Therefore, single beam mask writer (SBMW) can play an important role for mask
production still, relative to MBMW. Also the demand of SBMW seems actually strong for the logic 7nm
node. To realize this, we have developed a new SBMW, EBM-9500 for mask fabrication in this generation. A
newly introduced electron beam source enables higher current density of 1200A/cm2. Heating effect
correction function has also been newly introduced to satisfy the requirements for both pattern accuracy and
throughput. In this paper, we will report the configuration and performance of EBM-9500.
The specifications for critical dimension (CD) accuracy and line edge roughness are getting tighter to promote every photomask manufacturer to choose electron beam resists of lower sensitivity. When the resist is exposed by too many electrons, it is excessively heated up to have higher sensitivity at a higher temperature, which results in degraded CD uniformity. This effect is called “resist heating effect” and is now the most critical error source in CD control on a variable shaped beam (VSB) mask writer. We have developed an on-tool, real-time correction system for the resist heating effect. The system is composed of correction software based on a simple thermal diffusion model and computational hardware equipped with more than 100 graphical processing unit chips. We have demonstrated that the designed correction accuracy was obtained and the runtime of correction was sufficiently shorter than the writing time. The system is ready to be deployed for our VSB mask writers to retain the writing time as short as possible for lower sensitivity resists by removing the need for increased pass count.
Resist heating effect which is caused in electron beam lithography by rise in substrate temperature of a few tens or hundreds of degrees changes resist sensitivity and leads to degradation of local critical dimension uniformity (LCDU). Increasing writing pass count and reducing dose per pass is one way to avoid the resist heating effect, but it worsens writing throughput. As an alternative way, NuFlare Technology is developing a heating effect correction system which corrects CD deviation induced by resist heating effect and mitigates LCDU degradation even in high dose per pass conditions. Our developing correction model is based on a dose modulation method. Therefore, a kind of conversion equation to modify the dose corresponding to CD change by temperature rise is necessary. For this purpose, a CD variation model depending on local pattern density was introduced and its validity was confirmed by experiments and temperature simulations. And then the dose modulation rate which is a parameter to be used in the heating effect correction system was defined as ideally irrelevant to the local pattern density, and the actual values were also determined with the experimental results for several resist types. The accuracy of the heating effect correction was also discussed. Even when deviations depending on the pattern density slightly remains in the dose modulation rates (i.e., not ideal in actual), the estimated residual errors in the correction are sufficiently small and acceptable for practical 2 pass writing with the constant dose modulation rates. In these results, it is demonstrated that the CD variation model is effective for the heating effect correction system.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.