To meet the challenges for resist materials raised by high resolution lithography technologies, tailor-made photoacid
generators (PAGs) with controlled acid diffusion and improved miscibility with polymers are very important. We have
developed new ionic PAGs containing functionalized semifluorinated sulfonates. These PAGs have excellent solubility
in polymer matrices and common organic solvents, high thermal stability, high acid strength and low volatility of the
generated acids, and make them attractive PAGs for high resolution lithography. In this contribution, the preparation and
characterization of several new ionic PAGs, the influence of the host matrix on PAG properties, and a comparison of
their lithographic performance are presented. Specifically their lithographic performance at EUV wavelength is
discussed.
KEYWORDS: Line edge roughness, Monte Carlo methods, Diffusion, Lithography, Chemically amplified resists, Image processing, Electron beams, Extreme ultraviolet, Electron beam lithography, Extreme ultraviolet lithography
It is well-known that line edge roughness (LER) of patterned features in chemically amplified (CA) resists is formed in
the acid generation stage and expected to be moderated by the acid diffusion and development process. To provide an
insight into the limit of LER is essential for the realization of next-generation lithographies such as electron beam or
extreme ultraviolet. Based on the results of Monte Carlo simulation which reproduces dynamics of chemical
intermediates in positive-tone CA resist, we discuss the possibility of low LER (high frequency) after development. It is
found that low LER is achievable; however, the process condition is still strict.
KEYWORDS: Line edge roughness, Monte Carlo methods, Lithography, Molecules, Diffusion, Image enhancement, Electron beam lithography, Ionization, Electron beams, Polymers
Of great importance in post-optical lithographies, such as electron beam (EB) and extreme ultraviolet, is the improvement of line edge roughness or line width roughness of patterned resists. We provide an exposure dose dependence on LER of a latent image in chemically amplified EB resist from 1 to 50 µC/cm2. By using a Monte Carlo simulation and empirical equations, the effects of exposure dose and amine concentration on LER are investigated in terms of shot noise and image contrast. We make clear the correlation between LER and the fluctuation of the initial number of acid molecules generated in resists.
Current extreme ultraviolet (EUV) photoresist materials do not yet meet requirements on exposure-dose sensitivity,
line-width roughness (LWR), and resolution. Fundamental studies are required to quantify the trade-offs in materials
properties and processing steps for EUV photoresist specific problems such as high photoacid generator (PAG) loadings
and the use of very thin films. Furthermore, new processing strategies such as changes in the developer strength and
composition may enable increased resolution. In this work, model photoresists are used to investigate the influence of
photoacid generator loading and developer strength on EUV lithographically printed images. Measurements of line
width roughness and developed line-space patterns were performed and highlight a combined PAG loading and
developer strength dependence that reduce LWR in a non-optimized photoresist.
We present the initial results of the development of a qualitative structure property relationship (QSPR) model to guide
in the design and synthesis of high-sensitivity, non-CAR materials for EUV lithography. The model was developed
using the fragmentation data of low molecular weight species at 70 eV using a mass spectrometer (MS) with an electron
ionization source as the input parameter. The preliminary model has highlighted a number of structural elements which
will be important in the future design of resists, however, limitations with the current set of input data for molecules
which fragment readily have been identified and these are currently being addressed. Additionally, a correlation
between &ggr; (1 MeV) and EUV (92 eV) radiolysis of selected polymers has been established and it is proposed that the
higher energy (1 MeV) irradiation source is a suitable model process for EUV and can, therefore, be used in the future
screening of polymeric materials.
Optics contamination is a concern for extreme ultraviolet (EUV) lithography. To protect EUV optics, all materials used
in EUV vacuum exposure chambers must be screened prior to use. Photoresists are a concern since a freshly coated
wafer will be introduced into the chamber approximately every minute in a high volume production tool. SEMATECH
and the International EUV Initiative (IEUVI) have begun a resist outgassing benchmarking experiment to compare
different outgassing methodologies. Samples of the same batch of resist were sent to eight researchers. The results show
a large variation of four orders of magnitude in the amount of measured outgassing products. The next steps are to
correlate outgassing measurements to witness plate experiments.
In this contribution, we describe our efforts to develop novel chemically amplified molecular glass (MG) photoresists
based on bulky phenol structures. In contrast to conventional polymeric materials, MG resists possess distinct
advantages, such as smaller molecular size and uniformity in composition. A number of compounds which possess rigid
aromatic backbones were synthesized in our laboratories and evaluated for electron beam lithography. Herein, two new
MG photoresists are discussed in terms of their physical and lithographic properties. In the first section, we introduce
tert-butoxycarbonyl (t-Boc) protected 'Noria-Boc' photoresists as a promising candidate for next generation
lithographic technique. Noria-Boc was synthesized through a condensation reaction between resorcinol and 1,5-
pentanedial. After protection with di-tert-butyl dicarbonate [(t-Boc)2O], the cyclic, bulky and amorphous material was
characterized by a high glass transition temperature (Tg > 120 °C) and excellent film-forming properties. Post-exposure
bake at 140 °C was necessary to ensure complete development of the exposed area and produced sub-100 nm lines. In
the second part, we describe the synthesis and lithographic evaluation of partially t-Boc-protected bulky phenol 'CR1'.
CR1 is also characterized by high glass transition temperature (Tg ≈ 130 °C) and good film-forming properties. Postapply
bake at 130 °C and post-exposure bake above 130 °C were necessary to ensure good contrast under deep UV
(DUV) exposure conditions.
Nonionic photoacid generators (PAGs) based on photosensitive fluoroorganic sulfonate esters of imide and nitrobenzyl have been prepared and characterized. These new compounds produce fluoroorganic sulfonic acids that contain very few fluorine atoms (non-PFOS), which make them attractive PAGs for all advanced and emerging lithography. The structural influence of these new PAGs on sensitivity, resolution and line edge roughness (LER) was investigated by using DUV (254 nm) and e-beam lithography with ESCAP and ACRYLIC type positive tone resists. E-beam lithography evaluation indicates that these new fluroorganic sulfonic acids are sensitive and capable of providing image profiles down to 80 nm. The variation observed in sensitivity and LER at e-beam lithography was analyzed in terms of the structures of the photogenerated acids, chromophores and resists.
More demanding requirements are being made of photoresist materials for fabrication of nanostructures as the feature critical dimensions (CD) decrease. For extreme ultraviolet (EUV) resists, control of line width roughness (LWR) and high resist sensitivity are key requirements for their success. The observed LWR and CD values result from many factors in interdependent processing steps. One of these factors is the deprotection interface formed during the post-exposure bake (PEB) step. We use model EUV photoresist polymers to systematically address the influence of exposure-dose on the spatial evolution of the deprotection reaction at a model line edge for fixed PEB time using neutron reflectivity. The bilayer consists of an acid feeder layer containing photoacid generator (PAG) and a model photoresist polymer, poly(hydroxystyrene-co-tert-butylacrylate) with perdeuterated t-butyl protecting group. The deuterium labeling allows the protection profile to be measured with nanometer resolution. The evolution of two length scales that contribute to the compositional profile is discussed.
In order to meet the growing demand of the electronics industry for smaller, higher resolution features much recent attention has focused on next generation lithographic techniques, such as Extreme Ultraviolet (EUV) or e-beam lithography. Complementary to this field of research is the design of the next generation of photoresists to produce sub 50 nm feature sizes. Chemically amplified molecular glass resists are among the most promising alternatives to traditional polymeric materials. These materials are monodisperse, amorphous organic molecules which lead to high resolution patterns with low line edge roughness owing to their small size and lack of chain entanglement. In this submission, we describe our work in the development of molecular glass resists. The materials are designed with rigid cores, to ensure high Tg, and with bulky side groups to inhibit crystallization. We show that these materials are capable of producing high resolution feature sizes and show great promise in meeting the demands of emerging next-generation lithographic techniques.
The Intel lithography roadmap calls for Extreme Ultraviolet Lithography (EUVL) to be used for the 32 nm node. With the installation of the EUV Micro-Exposure Tool (MET) complete, Intel now has the world's first integrated EUVL process line including the first commercial EUV exposure tool. This process line will be used to develop the EUV technology, including mask and resist, and to investigate issues such as defect printability. It also provides a test-bed to discover and resolve problems associated with using this novel technology in a fab (not lab) environment. Over 22,000 fields have been exposed, the discharge-produced plasma light source has operated for 50,000,000 pulses, 8 masks have been fabricated, and 8 resists have been characterized. The MET combines high resolution capability with Intel's advanced processing facilities to prepare EUVL for high-volume manufacturing (HVM).
In this paper we review the MET installation and facilities, novel capabilities of the linked track, data on optics quality and modeled tool capability, and the MET mask fabrication process. We present data on tool performance including printing 45 nm 1/2 pitch lines with 160 nm depth of focus and 27 nm isolated lines. We show tool accuracy and repeatability data, and discuss issues uncovered during installation and use.
The uniqueness in extreme ultraviolet (EUV) Lithography is encouraging the development of new polymer platform as a resist material. The absorbance characteristic of materials at the EUV region demands the use of polymers containing highly transparent silicon atoms. Also very low level of outgassing is required due to the vacuum environment during exposure and the extremely high cost of the EUV tools. To fulfill those requirements, two types of silicon backbone polymers were studied; chemically amplifiable polysilanes and polysilsesquiazanes. In the former case, the direct incorporation of acid sensitive groups into the polymer backbone allows for a solubility switch upon exposure. In the later system, this nitrogen-containing silicon polymer can be cleaved upon exposure to induce a solubility switch. These polymers possess many essential properties including low absorbance, low outgassing, and high sensitivity. Polymers having different substituents and branching ratios were synthesized. The properties of the polymers will be discussed relating to their lithographic performances.
The demands for high resolution and issues of line edge roughness require a reconsideration of current resist design strategies. In particular, EUV lithography will provide an opportunity to examine new resist concepts including new elemental compositions and low molar mass resists or molecular resists. In the former case, resist compositions incorporating elements such as silicon and boron have been explored for EUV resists and will be described. In an example of the latter case, molecular glass resists have been designed using synthetic architectures in globular and core-arm forms ranging from one to multiple arms. Moreover, our studies include a series of ring and irregularly shaped small molecules modified to give imaging performance. These materials have been explored to improve line edge roughness (LER) compared to common polymer resists. Several examples of polymeric and molecular glass resists will be described. Several compositions showed high glass transition temperatures (Tg) of ~ 120°C and possessed no crystallinity as seen from XRD studies. Negative-tone molecular glass resists with a T-shaped phenolic core structure, 4-[4-[1,1-Bis(4-hydroxyphenyl)ethyl]]-α,α-dimethylbenzylphenol, have demonstrated feature sizes as small as 50mn. Similarly, negative-tone images made using spiro-based compounds showed feature size as small as 60nm in lines/space patterns using e-beam lithography. Most recently we have demonstrated that fully and partially tert-butoxycarbonyl (t-Boc) protected calix[4]resorcinarene derivatives can be successfully studied as a positive-tone resist using EUV and E-beam lithography. Resolution as low as 35nm was obtained by EUV exposure.
Outgassing from EUV photoresists under EUV irradiation must be minimal in order to prevent contamination of EUV optics. A better understanding of both the level of outgassing of current EUV resists, and the effect of outgassing on EUV optics is needed to set outgassing targets and to assess the risk of resist outgassing in EUV tools. In this paper, we document a technique for quantifying the EUV resist outgassing using both internal and external standards to baseline the GC/MS. In a comparison of internal and external standard, the two techniques give consistent baseline results. Quantification of the outgassing of a number of experimental EUV photoresists shows outgassing levels of 1E+10 - 1E+15 molecules/cm2 at the relevant lithographic dose. Protecting groups and PAG fragments are identified as the main outgassing components. The use of high activation energy resists, and non-ionic PAGs are identified as possible methods to reduce the outgassing levels of EUV photoresists.
The patterning targets for EUV resists at the 32 nm node are stringent, and will require significant resist development in order to meet these targets. In this paper, we benchmark the patterning performance of current EUV resists against Intel targets. Resolution data for dense L/S structures, isolated lines, and contact hole structures show that current resists are close to meeting Intel requirements for the 32 nm node, though further optimization is needed. A trade-off is seen between LWR and sensitivity (6.0 nm LWR and 6.8 mJ/cm2 for resist A versus 11.1 nm LWR and 2.7 mJ/cm2 for resist C). However even at the higher dose, the LWR target is far from Intel’s spe. At best dose and focus sidewall angles of 85° were measured, decreasing by as much as 10° - 12° as the resist moved out of focus by 400 nm.
One of the many technology decisions facing the semiconductor industry for the 45 nm node (and beyond) is the selection of the best critical dimension (CD) metrology equipment to meet the needs of process equipment suppliers and semiconductor manufacturers. In an effort to address this need we fabricated advanced metrology structures using the Nanowriter e-beam writing tool at the Center for X-Ray Optics (CXRO) at Lawrence Berkeley National Laboratory. The structures include lines and holes both in resist and etched into substrates. The smallest isolated CDs are 16 nm, while the smallest holes are less than 50nm. We used these samples to characterize a variety of metrology technologies. In this paper we discuss the capability of those technologies to measure structures having dimensions representative of the 45 nm and 32 nm nodes.
Lithography results using spatially-filtered coherent EUV radiation are presented. These experiments were done using a new 10× Schwarzschild optic and other significant upgrades for high stability and throughput of the system. Included are both single- and multiple-pitch images. A chemically-amplified EUV resist is shown performing at dense 50-nm linewidths and loose 25-nm features. High resolution polymers (HSQ and PMMA) were also tested and demonstrate dense 40-nm linewidths, which are the smallest 1:1 multi-pitch features attempted at this time.
Absorbance is a key characteristic of photoresists that can limit resolution, wall angle, and practical resist film thicknesses. Currently, however, there is limited data for absorbance of resists at EUV (13.5 nm) wavelengths. The most common way of estimating the absorbance of materials at EUV wavelengths is to use an experimentally measured value of density along with stoichiometric chemical information of the material in a theoretical calculation such as that available through the Center for X-Ray Optics at Lawrence Berkeley National Laboratory website.1 However, there is limited validation of these estimates for photoresists. The paper will compare calculations using density measurements of EUV-2D by a variety of methods: traditional weight measurements and Specular X-ray reflectivity (SXR) to determine density; and against grazing incidence and normal incidence reflectivity measurements to determine absorbance directly. It will be shown that inaccurate density measurements can result in 10% or greater absorbance estimates from the calculations. Based on the results, recommendations will be made for measurement techniques and accurate density based calculations of photoresist absorbance.
EUV photoresists must be developed that meet the stringent patterning requirements for the next-generation of microprocessors (32nm node and beyond). In this paper we will address the ability of EUV photoresists to meet the material targets specs (MTS), such as CD resolution, line width roughness (LWR), photo sensitivity, and absorbance. The challenges of meeting CD resolution and line width roughness specs are not restricted to EUV lithography, but also need to be met by other technologies (193nm, 157nm, and 193 immersion technologies). However, EUV photoresists encounter the unique challenge of meeting these MTS with higher photospeeds than any other lithographic technology due to EUV source requirements. The design of EUV resists that meet all of the MTS and have sufficiently high photospeeds is very challenging. In this paper, we will present experimental results of EUV photoresists patterning results from the 10X tool at Sandia National Lab, and the F2X at Lawrence Berkeley National Lab. Data on resolution, LWR, photo sensitivity, and absorbance are included. Finally we address the capabilities of current EUV resists to meet the patterning requirements, and highlight areas where acceleration is required to meet the Intel roadmap.
Outgassing of photoresists needs to be minimized to avoid contamination of optics. A new challenge for EUV photoresists - that was not encountered for previous lithography technologies - is that exposures will occur in a vacuum environment. In order to design resists that meet the outgassing requirements for EUV lithography, current EUV photoresists need to be tested to determine if there are any performance gaps. In this paper we will describe the outgassing set-up for the outgassing chamber at the University of Wisconsin, and document Intel’s best known method for collecting and analyzing EUV outgassing data. In addition we will present preliminary outgassing results to benchmark the performance of Intel’s outgassing procedure.
Resists for the next generation of lithography must be able to meet stringent line width roughness (LWR) targets. The LWR requirements, governed by device performance, are the same regardless of the lithographic technology that is chosen. Unfortunately no resist platform for any technology (EUV, 157 nm, 193 nm) is on track to meet the targets for the 45 nm and the 32 nm technology nodes. In order to understand the fundamental sources of LWR, we designed an experiment to statistically vary resist parameters for EUV resists. The results of this study show methods to improve LWR and shed light on the sources of LWR.
To fulfill industry requirements for EUV resists, the development of entirely new polymer platforms is needed. In order to address transparency issues, we have been studying low absorbance materials, specifically silicon based resist platforms. In this approach, we have synthesized and studied resist materials based on polysilanes, polycarbosilane, and polysilsesquiazanes. Poly(methylphenylsilane) was chemically modified to incorporate polar groups to enhance solubility in polar solvents and developer solution. Copolymerization of the modified polysilane with an acid sensitive monomer has been used to produce chemically amplified copolymers. Preliminary studies have shown promising behavior. Polysilsesquiazanes-based resist were synthesized and tested using a 248 nm stepper. They showed excellent lithographic performance but some issues, including long term stability, are presently unknown. Our strategy to produce silicon-based resist together with outgassing and lithography issues will be discussed.
Line width roughness (LWR), transferred from a patterned photoresist to a gate during the etch process, may have a significant effect on the device performance beginning with the 65 nm technology node. Two factors that make LWR a greater concern for this node than for previous technology nodes are: 1) LWR does not scale in proportion to the critical dimensions (CDs), and 2) LWR has been shown to increase as film thickness decreases. A significant challenge for this technology node is the development of a resist process with sufficiently low LWR. In this paper, we investigate the effect that changing processing conditions has on LWR. We begin by reviewing the literature to determine which processing parameters have been shown to impact LWR. We then present experimental results that show how variations in processing parameters affect LWR. We conclude with molecular data showing the relation between resist surface roughness and LWR.
The success of extreme ultraviolet (EUV) lithography depends upon developing resists that meet the patterning requirements for the technology node in which EUV is inserted. This paper presents Intel’s patterning requirements and development strategies for EUV resists. Two of the primary problems for EUV resists are meeting the linewidth roughness (LWR) requirement, and reducing resist absorbance to obtain good sidewall profiles. Benchmarking data shows that none of the current EUV photoresists meet LWR targets. Modeling results for EUV resists show the impact of resist absorbance on sidewall angle and resolution.
Performance requirements for EUV resists may require the development of entirely new polymer platforms. In the first approach, we have synthesized norbornene-based copolymers using ring-opening metathesis polymerization (ROMP). Silicon containing norbornenes were synthesized and copolymerized with a series of monomers having acid sensitive and polar groups, including nitrile, carboxylic acid, hydroxyl, and anhydride functions to achieve random copolymers with suitable properties to be applied as resist materials. Using well-characterized metal alkylidene complexes, we could prepared polymers having controlled molecular weights and low polydispersities. From initial exposure studies using an EUV interferometer, we were able to pattern 150 nm pitchs without additional optimization. In the second approach, polysilane has been copolymerized with acid sensitive monomers (acrylate and styrene derivatives) to produced chemically amplified polysilane-copolymers.
In this study we determined the dimension dependent onset of pattern collapse for different 193 and 157nm resist platforms, and explored production relevant techniques to suppress pattern collapse. Test structures were designed and implemented to generate well-defined capillary forces on beams of resist during drying. X-ray and 193nm (using alternating phase shifting masks) lithography were used to print test structures and patterns of dense lines with critical dimensions as small as 100 nm. The collapse behavior was quantified in terms of the critical aspect ratio for collapse as a function of the spacing between structures. The resist platforms exhibited different collapse behavior at line widths of greater than 150 nm, but at line widths of 100 nm and less, all of the resist structures collapsed with aspect ratios greater than 3. A principal conclusion from this work is that changes in resist chemistry or formulation alone will not be sufficient to solve the collapse problem at the 100 nm node and below. The most effective strategy to suppress the resist collapse is to reduce the capillary forces that act on the structures during drying. For 193 nm resists, collapse behavior was quantified for a number of surfactants that were added to the rinse liquid. We demonstrate that with a simple modification of the final rinse and drying process, we could increase the critical aspect ratio from 4.2 to 5.2 at a spacing of 110 nm for a champion resist. This means, for example, that we can image 110 nm dense lines with the surfactant rinse at a thickness of 575 nm whereas without surfactant we were limited to 460 nm. The results are interpreted in terms of the contact angles of rinse liquids on the resists and their respective surface tensions.
The pattern collapse behavior of a set of 193 nm resists in high aspect ratios was quantified. For all the resists investigated a general behavior could be observed: the collapse did not only depend on aspect ratio but also on pitch. With higher aspect ratio/pitch (normalized aspect ratio: NAR) all the resists go in a sigmoidal step from no collapse to total collapse. Surfactants in the developer did not have a consistent effect on pattern collapse. Resists of different polymer structure showed a very different tendency to collapse: acrylic resists collapse earlier than cyclo- olefinic resists. It could be deduced that pattern collapse will be a significant problem starting at the 130 nm node, if the film thickness range of the SIA roadmap are maintained. Comparison with data obtained for DUV resists showed that pattern collapse might limit the application of DUV resists in NGL. The modeling work at the University of Wisconsin shows the big impact of thermo-mechanical thin film properties on the pattern collapse problem.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.