As wafer manufacturing shrinks size and pitch of features, and EUV lithography introduces high NA, the control of photomask pattern placement error that contributes to wafer overlay becomes a critical requirement for leading-edge devices. For sub-3nm node devices, the pattern complexity has increased and the exposure dose has also risen due to the use of low-sensitivity resist. Accordingly, to improve the pattern fidelity and reduce the exposure time, masks are manufactured using Multi-Beam Mask Writer (MBMW). As a result of analyzing the mask pattern placement error budget for the main EUV resist of sub-3nm node device, e-beam resist charging was found to be the most significant factor. This is primarily due to the inability to use a charging dissipation layer (CDL), caused by defect issues and degradation of critical dimension (CD) linearity. In this paper, we conduct an in-depth analysis of mask pattern placement errors induced by the charging effect in the MBMW and present a charging control methodology to mitigate these pattern-density-dependent errors. We test the charging effect reduction, an integrated solution of hardware and software for charging control in the MBMW, and showcase its performance for two resists. When applied to mass productions, the charging effect correction (CEC) significantly reduces mask pattern placement errors in a single cell and improves mask overlay between two critical layers aligned in an overlay alignment scheme. Ultimately, this leads to a reduction of wafer in-field overlay error.
Multibeam mask writers(MBMW) have been rapidly occupying on the field of leading edge EUV mask patterning for last several years. Thanks to outstanding ability of MBMW characteristics, sophisticated mask patterns and higher local pattern fidelity with low sensitivity E-beam resist can be realized in EUV era. Now most mask makers want to make good use of MBMW as a standard of making high-end grade masks such as Memory, Logic chips and etc. For this reason, they require higher pattern accuracy, faster writing time, higher data handling efficiency and matured machine stability aiming for the innovative mask making environment. Moreover, Larger coverage is needed as well not only for Low/High-NA EUV masks but also for even ARF masks.
In this paper, we touch key items with regard to comprehensive requirements from the mass production's point of view, for the versatile machines, several works and challenges to overcome on MBMW will be discussed.
Ion Beam Deposition (IBD) has been the primary technique used to deposit EUV mask blanks since 1995 when it was discovered it could produce multilayers with few defects. Since that time the IBD technique has been extensively studied and improved and is finally approaching usable defectivities. But in the intervening years, the defectivity of magnetron sputtering has been greatly improved. This paper evaluates the suitability of a modern magnetron tool to produce EUV mask blanks and the ability to support HVM production. In particular we show that the reflectivity and uniformity of these tools are superior to current generation IBD tools, and that the magnetron tools can produce EUV films with defect densities comparable to recent best IBD tool performance. Magnetron tools also offer many advantages in manufacturability and tool throughput; however, challenges remain, including transitioning the magnetron tools from the wafer to mask formats. While work continues on quantifying the capability of magnetron sputtering to meet the mask blank demands of the industry, for the most part the remaining challenges do not require any fundamental improvements to existing technology. Based on the recent results and the data presented in this paper there is a clear indication that magnetron deposition should be considered for the future of EUV mask blank production.
Recently, Multi-Beam Mask Writer (MBMW) scheme is newly considered for next generation writing scheme. As the
MBMW writing uses many multi-array bundle beams with small spot size, the fast writing and complex pattering is
possible conceptually.
The target dose level of MBMW is high around 100μC/cm2 and the target of total writing time is within 10 hours for
next generation layout with complex and small node pattern. The risks of high dose writing are rising of blank
temperature, chemical reaction with photo-resist and charging effects in blank. In addition, the fast writing can cause the
rising of temperature in blank.
The heating effect can be divided into local and global terms, and each effect of critical dimension (CD) and
registration was analyzed by heating effect. In case of MBMW, the global heating is more critical than local heating.
Therefore, we need to study about the global heating effect which can affect global registration in MBMW.
In this paper, we study about the global heat distribution on mask blank in certain MBMW writing condition, and the
directional deformation of blank which can affect global registration was analyzed by using Finite Element Method
(FEM). We approach with two kinds of modified heat model and the FEM model was verified with analytical calculation.
The temperature variation and deformation distribution were achieved with transient method with the writing
conditions, in case of 100μC/cm2 of total dose, 50kV of acceleration voltage, 100% of chip density and 10 hour of total writing time. Therefore, we can consider the writing conditions according to mask specification in MBMW scheme.
Because mask patterning quality of CD uniformity, MTT, registration and smaller assist feature size is important for wafer patterning, the higher exposure dose and complex pattern design will be necessary. It is the reason why the faster and more accurate e-beam mask writer is needed for future design node. Multi-beam mask writer is the most promising new e-beam mask writer technology for future sub-10nm device mask patterning to solve the pattern quality issue and writing time problem. In this report, the technical challenges of multi-beam mask writer are discussed by comparison with problems of current VSB e-beam mask writer. Comparing with e-beam mask writer which has the critical issues of beam size and position control, the application of entirely different methods and techniques of CD and position control is essential for multi-beam mask writer which has new architecture and writing strategy. Using the simulation method, we present the different challenges between VSB and multi-beam mask writer. And there are many important technical requirements to achieve expected specification of multi-beam mask writer. To understand such requirements, the patterning simulation and mathematical calculation are done for analysis. Based on the patterning simulation, the detail technical requirements and issues of multi-beam mask writer are achieved. Consequently, we suggest the direction of multi-beam mask writer development in terms of technical challenges and requirements.
According to device shrinkage, pattern load, layout geometry and process induced critical dimension (CD) trend are the
most important factors deciding mask CD uniformity in a mask manufacturing process. The CD distribution is generally
divided by two categories - contribution of pattern load and process induced CD distribution. Etch bias uniformity on a
mask is one of the decisive contributors at a standpoint of pattern load. The signature of etch bias uniformity totally
depends on the pattern load in a mask. In a low pattern load, etch bias uniformity shows a radial signature which is
geometrically distributed regardless of pattern position. In a high pattern load, etch loading effect becomes dominant.
The pattern load, however, can have various definitions, which means that a criterion of low and high pattern load can be
obscure. Specific layouts which have same pattern load over mask but separated region of low and high load pattern in
one mask was designed to specify the effect of pattern load. The radial CD signature is mitigated as pattern load
increases locally. At the same time, etch loading trend grows and dominates total CD uniformity. The radial signature and
etch loading trend have inverse signs on central region which enables to compensate each signature. Therefore a specific
pattern load which can make etch bias uniformity minimized can exist. "Transition pattern load" is detected here. One
can use this specific pattern load as an indicator to specify design categories for mass production. In addition, geometry
of layout should be considered to achieve uniformity number required in 45nm node technology. In high pattern load
over transition pattern load, etch bias shows saddle shape uniformity. Since the saddle shape uniformity is uncorrectable
with conventional etch loading kernel, new correction model should be considered to meet the confined CD specification
in future device nodes.
In the photo-lithography process, a mask is one of the most important items because CD error from its imperfection is
transferred to the CD error on the wafer. And the CD error amplification from the mask CD to the wafer CD is denoted
by Mask Error Enhancement Factor (MEEF).
As the device shrinks so fast, MEEF increases conspicuously and massive OPC is necessary to secure the target
pattern CD and the proper process margin on the wafer. Therefore the mask CD uniformity and the just mean-to-target
(MTT) are very important to minimize the CD variation on the wafer level.
In most cases, MTT and CD uniformity for a certain device are not defined exactly. What we know is that the smaller,
the better. Because just small value of MTT and CD uniformity is not the reasonable guideline for the mask fabrication
and inducing high mask cost, defining the logical MTT and CD uniformity prospect for a certain device or layer is very
important.
As the necessity of the low k1 process increases, MTT and CD uniformity specifications become tighter and tighter.
However the proper mask specification for sub-65nm real device has not been defined yet and not been studied
considering the mask fabrication and MEEF.
In this study, MTT and CD uniformity specification of the sub-65nm real device patterns are discussed with respect to
the mask pattern linearity and MEEFs. Mask linearity is one of the typical items for the mask fabrication and strongly
related to MTT and CD uniformity. MTT and CD uniformity tolerance also follows OPC tolerance, and OPC tolerance is
directly related to the pattern layouts and MEEF. To define the mask specification for the sub-65nm device, an example
of mask linearity effect is shown; MEEFs of the critical pattern designs are calculated and compared with each other;
MTT, CD uniformity and MEEF relationship is commented.
In order to make the mask for the photolithography, e-beam direct writing system has been used because e-beam source is most controllable among the direct systems. However, the development of the new e-beam system is scheduled slowly and there is no conspicuous breakthrough technology to improve the quality of the mask comparing to the wafer exposure tool development. Lately, a new laser writing system, Sigma7300 is introduced and shows 200x reduction projection system and very high throughput relative to the e-beam direct writing system. Because it can write the full layout in a mask less than 4
hours, the high reproducibility is expected. Although the current tool is using KrF light source and 0.82NA reduction projection lens column, the higher resolution tool using the ArF light source can be expected in the future. In this paper the possible resolution limit of the Sigma7300 is discussed and the application example for the mask fabrication. To estimate the process capability, the optical simulation is performed and compared with the experimental results. Because its patterned image is not so clear like the e-beam writer, the pattern rounding, the line-end shortening, and the minimum assist feature are discussed with the patterns of the e-beam writer. At the end the important qualities of the mask like defects are compared with the results of the e-beam system.
Mask critical dimension (CD) errors are analyzed in case fogging effect is corrected by dose modulation method with comparison of measurement and simulation. In the test mask, an extreme condition from pattern density 0% to 100% is applied for making fogging effect. On the ground of the utmost pattern densities which is one of the factors of fogging effect, various mask CD errors are observed with optical measurement in spite of fogging correction. Each error factor is distinguished from whole mask error using electron beam simulator which is adopting Monte Carlo (MC) calculation for electron scattering modeling, proximity effect correction (PEC) and even fogging effect correction. From error analysis, 3 kinds of mask error are observed. The first CD error is from an inaccurate modeling of fogging effect, the second is from fogging correction program. The third is error from development loading effect. The two formers are comparatively less important than the latter because they can be soluble problems by careful selection of fogging model or improvement of computing systems. However, error from develop loading effect is hard to solve so that not only chemical but also fluid mechanical approach is needed.
In order to analyze a simultaneous correction of fogging and loading effect, the e-beam lithographic simulation was performed with dose modulation method. The in-house e-beam simulator which adopts Monte-Carlo method for electron scattering is used for performing Proximity Effect Correction (PEC) and fogging correction during the e-beam
lithographic processes. Various values of theta, representative parameter which describes the deposited energy by fogging, are used for simulation. Fogging effect is well known phenomenon which is the additional energy deposition into large exposed area by second electron scattering, and this fogging correction is successfully achieved by dose modulation method. However, etch loading cannot be compensated properly by modulating dose due to its unique
property. From the simulation results, it is obviously necessary to correct etch loading effect and fogging effect simultaneously in order to cure global and local CD errors. The bigger loading effect is, the bigger local CD error induced by dose modulation method is to be generated. This global error is reducible but irremovable perfectly owing the discrepancy between the property of etch loading effect and dose modulation. However, the proper selection of eta,
the ratio of the energy deposition into resist from the back scattering electrons verse the forward scattering electrons, can remarkably reduce the global and local CD errors. As a consequence, the method of the dose modulation is not the perfect way to correct the CD errors induced by etch loading or positional induced error. Nevertheless, the dose modulation method with variable eta can be an alternative way to control the designed CD because of its precision and rapidity.
An analytical approach to X-phenomenon in alternating phase-shifting masks is given in the framework of the thin-mask approximation. We present an analytical expression for the focus-dependent intensity imbalance between 0° and 180° phase regions when there exists relative phase error. It is shown that X-phenomenon results from the interference between 0th diffracted order, which originates from the phase error and has an in- or out-of-phase component with respect to the ±1st diffracted orders depending on the defocus directions, and the ±1st diffracted orders. Dependences of the intensity imbalance on the phase error and the duty ratio of the structure are given.
As the feature size of integrated circuits shrinks, the demands for the critical dimension (CD) uniformity on wafers are becoming tighter. In the era of low k1, moreover, mask CD uniformity should be controlled even more stringently due to the higher mask error enhancement factor (MEEF). Mask CD non-uniformity can originate from several sources which include photomask blanks and mask-making processes (exposure, post-exposure bake (PEB), development, and etch processes). Analyzing the CD error sources and eliminating the origins are very important tasks in optimization of mask-manufacturing processes. In this paper, we focus on the side error in mask CD uniformity and present a simple method for separating and evaluating the origins. Especially, quantitative analysis of the side errors induced by photomask blanks and mask-making processes, respectively, is given. Photomask blanks are found to be one of the main sources of the side error and it is shown that the temperature distribution of the PEB process during the ramp-up as well as the stable period should be maintained uniformly for chemically amplified resist (CAR) blanks in order to reduce the process-induced side error.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.