Aerial image measurement system (AIMSTM) has been widely used for wafer level inspection of mask defects. Reported inspection flows include die-to-die (D2D) and die-to-database (D2DB) methods. For patterns that do not repeat in another die, only the D2DB approach is applicable. The D2DB method requires accurate simulation of AIMS measurements for a mask pattern. An optical vectorial model is needed to depict the mask diffraction effect in this simulation. To accurately simulate the imaging results, a rigorous electro-magnetic field (EMF) model is essential to correctly take account of the EMF scattering induced by the mask topography, which is usually called the mask 3D effect.
In this study, the mask 3D model we use is rigorous coupled-wave analysis (RCWA), which calculates the diffraction fields from a single plane wave incidence. A hybrid Hopkins-Abbe method with RCWA is used to calculate the EMF diffraction at a desired accuracy level while keeping the computation time practical. We will compare the speed of the hybrid Hopkins-Abbe method to the rigorous Abbe method.
The matching between simulation and experiment is more challenging for AIMS than CD-SEM because its measurements provide full intensity information. Parameters in the mask 3D model such as film stack thickness or film optical properties, is optimized during the fitting process. We will report the fitting results of AIMS images for twodimensional structures with various pitches. By accurately simulating the AIMS measurements, it provides a necessary tool to perform the mask inspection using the D2DB approach and to accurately predict the mask defects.
Extreme ultra-violet (EUV) lithography has been planned for high-volume manufacturing (HVM) in 2014 for critical
layers of advanced nodes in the semiconductor industry. Process and proximity correction (PPC) and verification is
necessary in order to compensate various optical and other process effects in EUV lithography. Since the long-range
flare, mask shadowing effect, and lens characteristics all vary throughout the whole mask range, position dependent PPC and verification may be needed for accurate mask pattern synthesis. In this paper, we will study the PPC accuracy. The PPC flow uses a single PPC kernel set and a full-mask flare map for long-range flare correction. The lithography model is calibrated in accordance with this PPC flow. The lithography model is used to perform full-mask correction for the 10nm node test chip mask for BEOL/FEOL short loop flow development. The optimized full-mask patterns were placed on the mask and printed using a 0.25 NA EUV scanner at various focus and dose conditions. Printed wafers were measured by a CD-SEM and compared to post-PPC verification results.
KEYWORDS: Calibration, Photomasks, Extreme ultraviolet, Extreme ultraviolet lithography, Semiconducting wafers, Lithography, Finite element methods, Metals, Ultraviolet radiation, Back end of line
Extreme ultra-violet (EUV) lithography is a promising solution for semiconductor manufacturing for the 1Xnm node and
beyond. Due to the mask shadowing effect and strong flare, process and proximity correction (PPC) is required for EUV
lithography even though the k1 factor is much larger than that in current 193nm immersion lithography. In this paper, we
will report a procedure of model calibration and full-mask PPC flow for EUV lithography. To calibrate the EUV model,
identical test structures are placed at various locations on the mask across the slit direction. Slit position effect, including
mask shadowing effect, will be investigated at different locations. The wafer is patterned with a 0.25 NA EUV scanner
and measured with CD-SEM for process evaluation and PPC model calibration. The EUV model is verified by wafer
measurements. A PPC flow with mask shadowing effect compensation and model-based flare compensation is
introduced to perform full-mask correction for the BEOL flow at 30nm HP L/S for the 16nm technology node. The slit
position effect on PPC is investigated through post-PPC verification.
In this paper we demonstrate the feasibility of Negative Tone Development (NTD) process to pattern 22nm node contact
holes leveraging freeform source and model based assist features. We demonstrate this combined technology with
detailed simulation and wafer results. Analysis also includes further improvement achievable using a freeform source
compared to a conventional standard source while keeping the mask optimization approaches the same. Similar studies
are performed using the Positive Tone Development (PTD) process to demonstrate the benefits of the NTD process.
Mask topography (3D) scattering has to be taken into account for a more accurate solution of optical proximity
correction (OPC) to meet the advanced Lithography patterning requirements. We report full-chip OPC and verification
with a fast mask 3D model. To compare to the conventional mask model with Kirchhoff approximation, we performed
lithography model calibration, OPC correction, and verification on a 40nm half-pitch BEOL metal layer using both
approaches. OPC accuracies of both models are evaluated by measuring the critical dimension (CD) data on the printed
wafer. OPC time with the fast 3D model is comparable to Kirchhoff model for the studied lithography configurations in
this paper. Process windows of post-OPC layout are compared for both approaches.
We present a comprehensive study of applicability of a fast 3D mask model in the context of source-mask optimization
to advanced nodes. We compare the results of source optimization (SO) and source-mask optimization (SMO) with and
without incorporating a fast 3D mask model to the rigorous 3D mask simulations and wafer data at 22 nm technology
node. We do this comparison in terms of process metrics such as depth of focus (DOF), exposure latitude (EL), and
mask error enhancement factor (MEEF). We try to answer the question of how much the illumination shape changes
with the introduction of mask topography effect. We also investigate if the illumination change introduces any mask
complexity and at which level. Correlation between MEEF and any mask complexity due to source variation is also
explored. We validate our simulation predictions with experimental data.
We quantify the OPC accuracy improvement obtained by including the stepper signatures in the OPC model. The
analysis takes into account the complete cycle of OPC model calibration, OPC execution, and image verification of the
OPCed photomask. We use the Nikon Scanner Signature File (NSSF) version 1.5 for the NSR-S610C immersion
scanner; and an OPC model that accounts for vectorial imaging, the polarization map of the illumination, and the pupil
Jones matrix map of the projection optics. We verify that the OPC model closely agrees with a commercial lithography
simulator. We use a 42 nm half-pitch NAND-flash layout to illustrate our point. Post-OPC CD errors obtained when
excluding information about the stepper signature are 11.9 nm (max) and 2.8 nm (RMS). These values drop to 1.9 nm
(max) and 0.7 nm (RMS) when the NSSF is included in the OPC model. In practice, OPC models are calibrated using
CD measurements taken on printed test patterns, which are affected by the scanner signature. OPC model calibration
indirectly and partially captures the scanner signature; however, including the NSSF directly in the model increases
accuracy. In addition, the number of edge-placement errors (EPE) exceeding 1 nm dropped by an order of magnitude
when the NSSF was directly included in the OPC model, as compared to capturing the same information incompletely
using the model calibration instead.
We present a methodology for building through-process, physics-based litho and etch models which result in accurate and predictive models. The litho model parameters are inverted using resist SEM data collected on a set of test-structures for a set of exposure dose and defocus conditions. The litho model includes effects such as resist diffusion, chromatic aberration, defocus bias, lens aberrations, and flare. The etch model, which includes pattern density and particle collision effects, is calibrated independently of the litho model, using DI and FI SEM measurements. Before being used for mask optimization, the litho and etch models are signed-off using a set of verification structures. These verification structures, having highly two-dimensional geometries, are placed on the test-reticle in close vicinity to the calibration test-structures. Using through-process DI and FI measurement and images from verification structures, model prediction is compared to wafer results, and model performance both in terms of accuracy and predictability is thus evaluated.
Computational models used in process proximity correction require accurate description of lithography and etch processes. We present inversion of stepper and photoresist parameters from printed test structures. The technique is based on printing a set of test structures at different dose and defocus settings, and processing the CD-SEM measurements of the printed test structures. The model of image formation includes: an arbitrary pupil illumination profile, defocus bias, flare, chromatic aberrations, wavefront errors and apodization of the lens pupil; interaction of vector EM waves with the stack of materials on the wafer; and molecular diffusion in photoresist. The inversion is done by minimizing a norm of the differences between CDs calculated by the model and CD-SEM measurements. The corresponding non-linear least square problem is solved using Gauss-Newton and Levenberg-Marquardt algorithms. Differences between the CD measurements and the best fitting model have an RMS error of 1.63 nm. An etch model, separate from the lithography model, is fitted to measurements of etch skew.
A typical wiring layer of SanDisk 3-dimensional memory device includes a dense array of lines. Every other line terminates in an enlarged contact pad at the edge of the array. The pitch of the pads is twice the pitch of the dense array. When process conditions are optimized for the dense array, the gap between the pads becomes a weak point. The gap has a smaller depth of focus. As defocus increases, the space between the pads diminishes and bridges. We present a method of significantly increasing the depth of focus of the pads at the end of the dense array. By placing sub-resolution cutouts in the pads, we equalize the dominant pitch of the pads and the dense array.
In optical proximity correction, edges of polygons are segmented, and segments are independently moved to meet line-width or edge placement goals. The purpose of segmenting edges is to increase the degrees of freedom in proximity correction. Segmentation is usually performed according to predetermined, geometrical rules. Heuristic, model-based segmentation algorithms have been presented in the literature. We show that there is an optimal and unique way of segmenting polygon edges.
We present a full-chip implementation of model-based process and proximity compensation. Etch corrections are applied according to a two-dimensional model. Lithography is compensated by optimizing a cost function that expresses the design intent. The cost function penalizes edge placement errors at best dose and defocus as well as displacement of the edges in response to a specified change in a process parameter. This increases immunity to bridging in low contrast areas.
Scatterometry provides a new, vibration-tolerant technique of overlay metrology. Gauge repeatability and reproducibility is improved by an order of magnitude over imaging-based overlay metrology. To measure the overlay of patterned layers A and B by scatterometry, one line grating is placed in layer A and another in layer B. The two gratings overlap when they are viewed in the direction that is normal to the wafer. The line gratings in layers a and b are of equal pitch and their lines are parallel. In one method, overlay is measured by fitting the optical properties of the target with spectra calculated using a model of the target and rigorous coupled wave analysis. A faster and simpler method obtains overlay by applying a linear estimator to a difference of spectra. Optical properties of targets were measured by a normal incidence spectroscopic reflectometer. Test wafers representing three overlay applications were fabricated: contact mask to shallow-trench, first metal mask to contact, and gate-mask to shallow-trench. Overlay measured by scatterometry agree with imaging-based measurements and offsets intentionally written to the reticle.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.