An extreme ultraviolet (EUV) pellicle is needed for the protection of EUV masks from defects, contaminants, and particles during the exposure process. However, the EUV pellicle can be easily deformed during the exposure process because it has an extremely thin thickness for high transmission of EUV lights. Due to the very thin thickness and the weak structure of the pellicle, a pellicle is easily deformed; a wrinkled pellicle causes an image distortion, which leads to critical dimension (CD) variation. In addition, a particle defect on an EUV pellicle can result from scanner building materials. Added materials of the particle defect on an EUV pellicle can also cause image distortion and CD variation. We investigated the impact of wrinkles and particle defects on the transmission and CD variation for the 5- and 3-nm nodes of isomorphic and anamorphic numerical aperture (NA) systems. The variation in transmission and the critical size of the particle defect with a wrinkled EUV pellicle were calculated to obtain the requirement of a CD variation of 0.2 nm for a EUV pellicle. As a result, a change in transmission of 1.9% (after two pass) resulted in a 0.2-nm variation in the CD for the anamorphic NA system (3-nm node), whereas a transmission variation of 1.3% (after two pass) caused a 0.2-nm CD variation in the isomorphic NA system (5-nm node). From these results, an allowable local tilt angle can be calculated; the allowable local tilt angle of an isomorphic NA system is 0.31 rad and that of an anamorphic NA system is 0.41 rad. When the particle defect is added on a wrinkled EUV pellicle, the critical size of the particle defect is 1.2 μm for the 5-nm node and 2.2 μm for the 3-nm node.
There has been reports of EUV scanner aberration effects to the patterns down to 18 nm half-pitch (hp). Maximum aberration of the latest EUV scanner is reported as 25 mλ. We believe that the first EUV mass production will be applied to the devices of 16 nm hp, so that we checked the aberration effects on 16 nm periodic line and space patterns and nonperiodic double and five-bar patterns. Coma aberrations of Z7, Z8, Z14 and Z15 Zernike polynomials (ZP) seems to be the dominant ones that make pattern distortion. Non-negligible critical dimension (CD) variation and position shift are obtained with the reported maximum 25 mλ of coma aberration. Optical proximity correction (OPC) is tried to see if this aberration effects can be minimized, so that we can make the desired patterns even though there is a non-correctable scanner aberration.
EUV lithography is one of the promising technologies for 1X nm patterning. EUV lithography has high resolution capability because of short wavelength of source but it has some particular patterning problems which are not appeared a t optical lithography. Owing to reflective optics, EUV light incidents obliquely in mask and oblique incidence of EUV lithography leads shadow effect and arc-shaped exposure slit. The study of these particular optical problems are required for optical proximity correction (OPC). Arc-shaped exposure slit leads azimuthal angle variation, incident angle variation , and variation of shadow width. With these variations along exposure slit, patterning result is varied along the exposure slit. With understanding of these particular optical problems, lots of EUV OPC studies have been presented with 0.33 conventional NA system. However, suggested anamorphic high NA system has not only elliptical shaped mask NA and also different angle distribution. The incident angle variation as a function of azimuthal angle is different between isomorphic and anamorphic NA systems. In case of anamorphic NA system, incident angle distribution is decreased on horizontal direction but it is larger on vertical direction compared with case of isomorphic NA system. These differences make different arc-shaped slit effect. CD variation as a function of azimuthal angle is different between isomorphic and a namorphic NA systems. The study of CD variation along the exposure slit is very helpful for OPC in EUV lithography.
Extreme ultraviolet (EUV) pellicle is required for protecting the EUV mask from defects, contaminations, and particles during exposure process. EUV pellicle should be very thin for high transmission of EUV wavelength. Therefore, EUV pellicle can be easily deformed during the exposure process, and the multi-stack pellicle is suggested to minimize this deformation of EUV pellicle. The EUV multi-stack pellicle is made of polysilicon-based core layer and capping layers for the durability during the exposure process. Nevertheless, there remains other manufacturing, thermal, and mechanical problems. In this study, we investigated the impact of wrinkles of EUV pellicle, which can be formed during pellicle manufacturing or exposure process, in terms of transmission non-uniformity and critical dimension (CD) variation for 5- nm and 3-nm nodes. To fabricate 3-nm node, we need a high numerical aperture (NA) system such as an anamorphic NA system with chief ray angle of 6-degree. The wrinkle can be uniform in height and period, but we assumed a realistic non-uniform wrinkle. This non-uniform wrinkle of multi-stack pellicle may cause different image distortion for 5-nm and 3-nm nodes with the isomorphic and anamorphic NA systems. The transmission non-uniformity is calculated with various heights and periods of non-uniform wrinkles of the pellicle. It is found that the transmission non-uniformity for wrinkled pellicle for the anamorphic NA system can be larger than that for the isomorphic NA system to obtain CD uniformity below 0.2 nm.
EUV pellicle with very thin thickness is significantly affected when external forces are applied. The mechanical forces
such as chamber-pellicle pressure difference and stage acceleration cause the mechanical stress in pellicle. We
investigated the maximum stress that can be induced by the pressure difference for various materials by using finite
element method (FEM). We also used theoretical model and FEM for predicting the pellicle deformation. Our results
show the mechanical deformation and the stress of full size (152 × 120 mm2) pellicle with 50 nm thickness, and the
influence of the pellicle is increased with larger pressure difference. We also studied the maximum stress caused by the
acceleration force of the scanner. The full size pellicle is greatly influenced with the specific pulse width causing
resonance. Our study indicates that mechanical stress with acceleration is very small and can be ignored.
For protecting mask from debris, EUV pellicle is considered as a most effective solution. EUV pellicle can avoid
contamination on mask by covering mask. Usage of EUV pellicle can reduce mask damage caused by contamination but
the pellicle involves transmission loss due to absorption of EUV light. To get high transmission, pellicle made with thin
thickness but it can be deformed easily due to weak structure. Deformation of pellicle such as wrinkle leads transmission
non-uniformity and transmission non-uniformity will involve CD non-uniformity. For real-application at lithography
process, the optical study of deformed pellicle is required to avoid degradation of CD uniformity. In this paper, we
discuss transmission non-uniformity with various off-axis-illumination (OAI) conditions. Then we studied CD nonuniformity
caused by wrinkled pellicle with various patterns. By increasing spatial coherence, transmission nonuniformity
is decrease at small wrinkle region. However, transmission non-uniformity variation is independent with
illumination conditions at large wrinkle which has large period. Not only wrinkled pellicle imaging but also CD variation
caused by non-uniform transmission is also dependent on illumination conditions. In contrast with transmission nonuniformity,
CD non-uniformity with high coherent light is smaller than the result with low coherent light. With all of
results, we find that the allowable local tilt angle is varied with wrinkle size and illumination conditions and smallest size
of allowable local tilt angle is about 250 mrad for both illuminations.
A high numerical aperture (NA) system with an NA larger than 0.5 is required to make patterns of 1X nm and below, even though extreme ultraviolet lithography uses a 13.5-nm wavelength source. To avoid the reflective efficiency loss and to avoid an increase in the chief ray angle of incident light, use of an anamorphic high-NA system is suggested. The suggested anamorphic NA system has nonisotropic magnification, x-magnification of 4× and y-magnification of 8×, and the mask NA shape is an ellipse due to the nonisotropic magnification distribution. Anamorphic NA systems have a nonconventional shadow effect due to nonisotropic incident angle distribution and magnification. These nonisotropic characteristics lead to the reduction of asymmetric shadow distribution and a reduction of horizontal–vertical bias. As a result, anamorphic NA systems can achieve balanced patterning results regardless of pattern direction and incident direction.
The absorption of extreme ultraviolet (EUV) light by the mask-protecting pellicle could be the most critical problem preventing widespread EUV adoption because EUV source power is still too limited to facilitate its use in mass production. We found that transmission loss due to the EUV pellicle could be compensated through the use of proper optical proximity correction (OPC) applied to the mask-pellicle system. Patterning results of optical proximity correction corrected masks with different transmission pellicles are shown for various one-dimensional and two-dimensional patterns. From the results, it is clearly shown that we do not need to increase the dose to avoid the throughput loss, even when using a pellicle with 80% one-pass transmission. The OPC process described in this paper can speed EUV adoption by allowing the use of much thicker films with higher absorption.
The absorber stack on the conventional mask in extreme ultraviolet (EUV) lithography technology leads to mask three-dimensional (3-D) effects including horizontal–vertical (H–V) bias and position shifts through focus. To overcome these problems, we revisit the etched multilayer mask structure. We focus on the etched multilayer mask structure process down to a 16-nm half-pitch at a 0.33 numerical aperture, and we compare the results from this mask to those obtained with a conventional mask. Removing the absorber stack makes the H–V bias of an etched multilayer mask smaller than that of a conventional absorber mask for a 16-nm half-pitch. Thus, the etched multilayer mask can be used to reduce the mask 3-D effects.
The absorption of extreme-ultraviolet (EUV) pellicle could be the most critical problem because the EUV source power is still not good enough for achieving mass production. We found that the transmission loss due to the EUV pellicle could be compensated through proper optical proximity correction (OPC) of a pellicled mask. Patterning results of OPCed masks with different transmission pellicles are shown for various 1D and 2D patterns. From the results, it is clearly shown that we do not need to increase the dose to avoid the throughput loss even if a pellicle which has 80 % one-pass transmission is used. Therefore, the EUV pellicle manufacturing would be much easier because we can use much thicker film with higher absorption.
Even though EUV lithography has extremely short wavelength source, a high numerical aperture(NA) system larger than 0.5 is required to make fine pattern of 1X nm and below. In order to avoid reflective efficiency loss and increase of chief ray angle of incident light, anamorphic high NA is suggested. Suggested anamorphic NA system has non-isotropic magnification which is varied 4X to 8X and the mask NA shape is ellipse due to non-isotropic magnification distribution. Anamorphic NA system has a non-conventional shadow effect due to non-isotropic incident angle distribution and magnification. These non-isotropic characteristics leads the reduction of asymmetric shadow distribution and it involves the reduction of horizontal-vertical bias. As a result anamorphic NA system can achieve balanced patterning results regardless of pattern direction and incident direction.
The usage of an extreme ultraviolet (EUV) pellicle is regarded as a potential solution for defect control because it can protect the mask from airborne debris. However, some obstacles disrupt realistic application of the pellicle, such as its structural weakness, the risk of thermal damage, and so on. For these reasons, flawless fabrication of the pellicle is impossible. We discuss the influence of a deformed pellicle in terms of the nonuniform intensity distribution and the critical dimension (CD) uniformity. When we consider a 16-nm periodic pattern with dipole illumination, a transmission difference (max-min) of 0.7% causes CD uniformity of 0.1 nm. The deflection of the aerial image caused by gravity is small enough to ignore. CD uniformity is <0.1 nm, even for the current gap of 2 mm between the mask and pellicle. However, wrinkling of the EUV pellicle, caused by heat, can cause serious image distortion because a wrinkled EUV pellicle experiences both transmission loss variation as well as CD nonuniformity. The local angle of a wrinkle (as opposed to the period or amplitude of a wrinkle) is the main factor that influences CD uniformity, and a local angle of <∼16 deg is needed to achieve 0.1-nm CD uniformity for a 16-nm L/S pattern.
Extreme ultraviolet (EUV) lithography is considered as one of the viable solutions for production of the next generation integrated devices. EUV mask defect control becomes more critical issue in order to sustain the quality of wafer fabrication process. Since pellicle is the essential component to prevent patterning deformations caused by particle defects on EUV mask[1-2], EUV OPC (optical proximity correction) that takes into account for pellicle effects on imaging quality is required for achieving better pattern fidelity and critical dimension control. In this study, image blurring effect induced by the EUV mask pellicle on mask pattern structures was investigated and it was found that the localized short-range OPC using commercial software performed as desired considering transmission intensity loss due to pellicle. For experiment, edge placement error differences of the same 2D logic patterns with 16 nm half pitch with and without pellicle were compared. Finally, a method was suggested how patterning throughput loss caused by the transmission loss can be compensated by EUV OPC, which may allow pellicle transmission even below 90%.
We report on out-of-band (OoB) radiation that can cause degradation to the image quality in extreme-ultraviolet (EUV) lithography systems. We investigated the effect of OoB radiation with an EUV pellicle and found the maximum allowable reflectivity of OoB radiation from the EUV pellicle that can satisfy certain criteria (i.e., the image critical dimension error, contrast, and normalized image log slope). We suggested a multistack EUV pellicle that can obtain a high EUV transmission, minimal reflectivity of OoB radiation, and sufficient deep ultraviolet transmission for defect inspection and alignment without removing the EUV pellicle in an EUV lithography system.
We studied various particle defects such as Fe, Al, and SiO2 which are frequently generated during extreme ultraviolet lithography (EUVL). It is important to find the critical sizes of the defect that do not make 10% critical dimension (CD) error because the defect causes CD variation. We found that the critical size of a defect was dependent on the extinction coefficient of the defect material and the particle defect with larger extinction coefficient made smaller critical size that could make 10% CD error. In addition it is needed to study the critical size of the defect which is located on the side of the absorber because it is hard to clean the location. We investigated the defect, which was located on the left side of absorber, affect more on patterning. Also arbitrary shape of defect is studied. As a result, the aerial image is most sensitive with defect area over the length and the height of the defect.
The usage of the extreme ultraviolet (EUV) pellicle is regarded as the solution for defect control since it can protect the mask from airborne debris. However some obstacles disrupt real-application of the pellicle such as structural weakness, thermal damage and so on. For these reasons, flawless fabrication of the pellicle is impossible. In this paper, we discuss the influence of deformed pellicle in terms of non-uniform intensity distribution and critical dimension (CD) uniformity. It was found that non-uniform intensity distribution is proportional to local tilt angle of pellicle and CD variation was linearly proportional to transmission difference. When we consider the 16 nm line and space pattern with dipole illumination (σc=0.8, σr=0.1, NA=0.33), the transmission difference (max-min) of 0.7 % causes 0.1 nm CD uniformity.
Influence of gravity caused deflection to the aerial image is small enough to ignore. CD uniformity is less than 0.1 nm even for the current gap of 2 mm between mask and pellicle. However, heat caused EUV pellicle wrinkle might cause serious image distortion because a wrinkle of EUV pellicle causes a transmission loss variation as well as CD non-uniformity. In conclusion, local angle of a wrinkle, not a period or an amplitude of a wrinkle is a main factor to CD uniformity, and local angle of less than ~270 mrad is needed to achieve 0.1 nm CD uniformity with 16 nm L/S pattern.
The out-of-band (OoB) radiation that can cause serious aerial image deformation on the wafer is reported. In order to check the maximum allowable OoB radiation reflectivity at the extreme ultra-violet (EUV) pellicle, we simulated the effect of OoB radiation and found that the maximum allowable OoB radiation reflectivity at the pellicle should be smaller than 15 % which satisfy our criteria such as aerial image critical dimension (CD), contrast, and normalized image log slope (NILS). We suggested a new multi-stack EUV pellicle that can have high EUV transmission, minimal OoB radiation reflectivity, and enough deep ultra-violet transmission for inspection and alignment of the mask through the EUV pellicle.
The main problem in extreme ultra-violet (EUV) lithography for mass production is low source power. In order to overcome this problem, we suggest to use an etched multilayer mask introduced several years ago. The etched multilayer mask structure does not need an absorber stack and it was found that we could get higher aerial image slope and peak intensity than those of the conventional absorber mask structure. Also, the etched multilayer mask can reduce the pattern shift and horizontal-vertical (H-V) bias.
193 nm inspection for various defect types on top of the extreme-ultraviolet (EUV) mask is studied. The antireflection coating (ARC) is tried to enhance the defect inspection. However, adding ARC is not helpful to increase the sensitivity. Thus, 2 nm TaBO generally used for preventing the oxidation is mainly used. The aerial image deformation caused by the defect is compared to that of the defect free mask. Peak intensity difference is quantized and the sensitivity that is comparable to the ITRS defect inspection limit is chosen. The inspection criterion for typical defect types of extrusion, intrusion, pindot and pinhole is compared.
Over the past several years, people have accomplished a great deal of developing the Extreme-ultraviolet lithography
(EUVL) technologies. However, several problems which disturb the mass-production of EUVL still remain. One of the
problems is the defect control. In order to protect the mask from defect, the usage of the pellicle is essential. However the
transmission loss caused by contamination can lead to the pattern error. Therefore it is necessary to find the acceptable
thickness of the contamination layer that would cause the image error. The protection ability of the pellicle in terms of
critical dimension variation is studied. Our study indicated that the process latitude difference is small enough to ignore
whether the pellicle is used or not. In addition the protection ability of pellicle is good against the case of conformal
contamination in terms of CD variation.
As is well known, a very short wavelength of 13.5 nm EUV is strongly absorbed by the most materials and this might cause huge heat deposition and as a result the pellicle deformation. Previously we have shown that the temperature rising of the thin pellicle membrane is minimal and cooling between the exposures is efficient enough so that we do not have to worry about the pellicle deformation. People still worry about the temperature rising of the mesh structure. We find that the cooling of the mesh was very slow and can pile up and damage the pellicle because thick (~ 50 μm) mesh structure has much larger mass compared to very thin (~ 50 nm) membrane. In order to see this heat behavior of the mesh, we intentionally increased the exposure time up to 2000 ms from normal 10 ms for Si, Zr, and Ni mesh. For the case of silicon mesh, the peak temperature rises up with the exposure time initially, but the temperature is not increased any more and is saturated even though more energy is deposited as the exposure time is increased. This result shows again that the heat pile up to pellicle including both membrane and mesh support can be managed and EUV pellicle can be used for EUV high volume manufacturing.
In EUVL, a pellicle is required for protecting the mask from contamination. However, the use of the pellicle at the real
applications is very challenging since temperature increase due to EUV light absorption can reduce the transmission of
EUV beams and distort a transmitted EUV beam profile. In the previous study, we consider the thermal behaviors of the
pellicle considering only the film during EUV lithography based on a simple thermal modeling using the heat transfer
equations. However the mesh is also required for supporting the thin film. Here we report the study of thermal behaviors
of the pellicle including both the film and the mesh. In the previous studies done by others, there are 3 cases depending
on linewidth and pitch and we review all the cases. And we take silicon as the material of thin film and wire-mesh. We
will show that the temperature increase of the mesh and its dependence on the structure are much smaller than those of
the film. Furthermore the conditions of a mesh structure and a material to reduce adverse thermal effects will be
discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.