KEYWORDS: Extreme ultraviolet, Systems modeling, Monte Carlo methods, Polymers, Molecules, Oxygen, Diffusion, Chemical species, Extreme ultraviolet lithography, Ions
The development of extreme ultraviolet (EUV) lithography towards the 22 nm node and beyond depends critically on the availability of resist materials that meet stringent control requirements in resolution, line edge roughness, and sensitivity. However, the molecular mechanisms that govern the structure-function relationships in current EUV resist systems are not well understood. In particular, the nanoscale structures of the polymer base and the distributions of photoacid generators (PAGs) should play a critical roles in the performance of a resist system, yet currently available models for photochemical reactions in EUV resist systems are exclusively based on homogeneous bulk models that ignore molecular-level details of solid resist films. In this work, we investigate how microscopic molecular organizations in EUV resist affect photoacid generations in a bottom-up approach that describes structure-dependent electron-transfer dynamics in a solid film model. To this end, molecular dynamics simulations and stimulated annealing are used to obtain structures of a large simulation box containing poly(4-hydroxystyrene) (PHS) base polymers and triphenylsulfonium based PAGs. Our calculations reveal that ion-pair interactions govern the microscopic distributions of the polymer base and PAG molecules, resulting in a highly inhomogeneous system with nonuniform nanoscale chemical domains. Furthermore, the theoretical structures were used in combination of quantum chemical calculations and the Marcus theory to evaluate electron transfer rates between molecular sites, and then kinetic Monte Carlo simulations were carried out to model electron transfer dynamics with molecular structure details taken into consideration. As a result, the portion of thermalized electrons that are absorbed by the PAGs and the nanoscale spatial distribution of generated acids can be estimated. Our data reveal that the nanoscale inhomogeneous distributions of base polymers and PAGs strongly affect the electron transfer and the performance of the resist system. The implications to the performances of EUV resists and key engineering requirements for improved resist systems will also be discussed in this work. Our results shed light on the fundamental structure dependence of photoacid generation and the control of the nanoscale structures as well as base polymer-PAG interactions in EVU resist systems, and we expect these knowledge will be useful for the future development of improved EUV resist systems.
To extend scaling beyond poly(styrene-b-methyl methacrylate) (PS-b-PMMA) for directed self-assembly (DSA), high quality organic high-x block copolymers (HC series) were developed and applied to implementation of sub-10 nm L/S DSA. Lamellae-forming block copolymers (BCPs) of the HC series showed the ability to form vertically oriented polymer domains conveniently with the in-house PS-r-PMMA underlayers (AZEMBLY EXP NLD series) without the use of an additional topcoat. The orientation control was achieved with low bake temperatures (≤200 °C) and short bake times (≤5 min). Also, these process-friendly materials are compatible with existing 193i-based graphoepitaxy and chemoepitaxy DSA schemes. In addition, it is notable that 8.5 nm organic lamellae domains were amenable to pattern development by simple dry etch techniques. These successful demonstrations of high-x L/S DSA on 193i-defined guiding patterns and pattern development can offer a feasible route to access sub-10 nm node patterning technology.
KEYWORDS: Picosecond phenomena, Polymethylmethacrylate, System on a chip, Scanning electron microscopy, Image segmentation, Photomasks, Etching, Electron beam lithography, Composites, Directed self assembly
Diminishing error tolerance renders the customization of patterns created through directed self-assembly (DSA) extremely challenging at tighter pitch. A self-aligned customization scheme can be achieved using a hybrid prepattern comprising both organic and inorganic regions that serves as a guiding prepattern to direct the self-assembly of the block copolymers as well as a cut mask pattern for the DSA arrays aligned to it. In this paper, chemoepitaxy-based self-aligned customization is demonstrated using two types of organic-inorganic prepatterns. CHEETAH prepattern for “CHemoepitaxy Etch Trim using a self-Aligned Hardmask” of preferential hydrogen silsesquioxane (HSQ, inorganic resist), non-preferential organic underlayer is fabricated using electron beam lithography. Customized trench or hole arrays can be achieved through co-transfer of DSA-formed arrays and CHEETAH prepattern. Herein, we also introduce a tone-reversed version called reverse-CHEETAH (or rCHEETAH) in which customized line segments can be achieved through co-transfer of DSA-formed arrays formed on a prepattern wherein the inorganic HSQ regions are nonpreferential and the organic regions are PMMA preferential. Examples of two-dimensional self-aligned customization including 25nm pitch fin structures and an 8-bar “IBM” illustrate the versatility of this customization scheme using rCHEETAH.
A viable pattern customization strategy is a critical to continue fin pitch scaling. Analysis shows that a self-aligned customization scheme will be required for fin pitch scaling beyond 20nm. In this paper, we explore scaling of the Tone-Inverted Grapho-Epitaxy technique with 24nm pitch PS-b-PMMA polymer to create groups of fins with self-aligned spaces in between. We discuss material selection, self-aligned customization, and etch processes to form 24-nm-pitch fins on silicon on insulator substrates. We demonstrate two-dimensional pattern customization at 24nm pitch, confirming scalability of this approach. FinFET device integration results at both 28 and 24 nm pitches shows a promising path for continued fin pitch scaling.
We continue to study the feasibility of using Directed Self Assembly (DSA) in extending optical lithography for High
Volume Manufacturing (HVM). We built test masks based on the mask datatprep flow we proposed in our prior year’s
publication [1]. Experimental data on circuit-relevant fin and via patterns based on 193nm graphoepitaxial DSA are
demonstrated on 300mm wafers. With this computational lithography (CL) flow we further investigate the basic
requirements for full-field capable DSA lithography. The first issue is on DSA-specific defects which can be either
random defects due to material properties or the systematic DSA defects that are mainly induced by the variations of the
guiding patterns (GP) in 3 dimensions. We focus in studying the latter one. The second issue is the availability of fast
DSA models to meet the full-chip capability requirements in different CL component’s need. We further developed
different model formulations that constitute the whole spectrum of models in the DSA CL flow. In addition to the
Molecular Dynamic/Monte Carlo (MD/MC) model and the compact models we discussed before [2], we implement a 2D
phenomenological phase field model by solving the Cahn-Hilliard type of equation that provide a model that is more
predictive than compact model but much faster then the physics-based MC model. However simplifying the model might
lose the accuracy in prediction especially in the z direction so a critical question emerged: Can a 2D model be useful fro
full field? Using 2D and 3D simulations on a few typical constructs we illustrate that a combination of 2D mode with
pre-characterized 3D litho metrics might be able to approximate the prediction of 3D models to satisfy the full chip
runtime requirement. Finally we conclude with the special attentions we have to pay in the implementation of 193nm
based lithography process using DSA.
The first fully integrated SOI device using 42nm-pitch directed self-assembly (DSA) process for fin formation has been demonstrated in a 300mm pilot line environment. Two major issues were observed and resolved in the fin formation process. The cause of the issues and process optimization are discussed. The DSA device shows comparable yield with slight short channel degradation which is a result of a large fin CD when compared to the devices made by baseline process. LER/LWR analysis through the DSA process implied that the 42nm-pitch DSA process may not have reached the thermodynamic equilibrium. Here, we also show preliminary results from using scatterometry to detect DSA defects before removing one of the blocks in BCP.
A study on the optimization of etch transfer processes using 200-mm-scale production type plasma etch tools for circuit relevant patterning in the sub-30-nm pitch regime using directed self-assembly (DSA) line–space patterning is presented. This work focuses on etch stack selection and process tuning, such as plasma power, chuck temperature, and end point strategy, to improve critical dimension control, pattern fidelity, and process window. Results from DSA patterning of gate structures featuring a high-k dielectric, a metal nitride and poly Si gate electrode, and a SiN capping layer are also presented. These results further establish the viability of DSA pattern generation as a potential method for Complementary metal–oxide–semiconductor (CMOS) integrated circuit patterning beyond the 10-nm node.
EUV insertion timing for High Volume Manufacturing is still an uncertainty due to source power and EUV mask infrastructure limitations. Directed Self Assembly (DSA) processes offer the promise of providing alternative ways to extend optical lithography cost-effectively for use in the 10nm node and beyond. The goal of this paper is to look into the technical prospect of DSA technology, particularly in the computational and DFM area. We have developed a prototype computational patterning toolset in-house to enable an early Design –Technology Co-Optimization to study the feasibility of using DSA in patterning semiconductor devices and circuits. From this toolset we can identify the set of DSA specific design restrictions specific to a DSA process and plan to develop a novel full chip capable computational patterning solution with DSA. We discuss the DSA Computational Lithography (CL) infrastructure using the via and fin layers as examples. Early wafer data is collected from the DSA testmask that was built using these new toolsets. Finally we discuss the DSA ecosystem requirements for enabling DSA lithography and propose how EDA vendors can play a role in making DSA Lithography (DSAL) a full-chip viable technology for multiple process layers.
We present a study on the optimization of etch transfer processes for circuit relevant patterning in the sub 30 nm pitch regime using directed self assembly (DSA) line-space patterning. This work is focused on issues that impact the patterning of thin silicon fins and gate stack materials. Plasma power, chuck temperature and end point strategy is discussed in terms of their effect on critical dimension (CD) control and pattern fidelity. A systematic study of post-plasma etch annealing processes shows that both CD and line edge roughness (LER) in crystalline Si features can be further reduced while maintaining a suitable geometry for scaled FinFET devices. Results from DSA patterning of gate structures featuring a high-k dielectric, a metal nitride and poly Si gate electrode and a SiN capping layer are also presented. We conclude with the presentation of a strategy for realizing circuit patterns from groups of DSA patterned fins. These combined results further establish the viability of DSA pattern generation as a potential method for CMOS integrated circuit patterning beyond the 10 nm node.
The patterning capability of the directed self-assembly (DSA) of a 42nm-pitch block copolymer on
an 84nm-pitch guiding pattern was investigated in a 300mm pilot line environment. The chemoepitaxy
guiding pattern was created by the IBM Almaden approach using brush materials in
combination with an optional chemical slimming of the resist lines. Critical dimension (CD)
uniformity, line-edge/line-width roughness (LER/LWR), and lithographic process window (PW) of
the DSA process were characterized. CD rectification and LWR reduction were observed. The
chemical slimming process was found to be effective in reducing pattern collapse, hence, slightly
improving the DSA PW under over-dose conditions. However, the overall PW was found to be
smaller than without using the slimming, due to a new failure mode at under-dose region.
Pattern customization is a necessary requirement to achieve circuit-relevant patterns using block copolymer directed
self-assembly (DSA), but the edge-placement error associated with customization steps after DSA is anticipated to be at
the scale of the pattern features, particularly as a result of overlay error. Here we present a new self-aligned approach to
the customization of line-space patterns fabricated through chemical epitaxy. A partially inorganic chemical pattern
contains a prepattern with pinning lines and non-guiding “blockout” features to which the block copolymer domains are
aligned. Pattern transfer results in a line-space pattern with self-aligned customizations directly determined by the
prepattern. In the transferred pattern, pinning lines determine the placement of single-line gaps while blockout features
determine the placement and size of perpendicular trim across lines. By using designed two-dimensional chemical
patterns, this self-aligned, bidirectional customization scheme enables the fabrication of high-resolution circuit-relevant patterns with fewer trim/exposure steps.
The main concern for the commercialization of directed self-assembly (DSA) for semiconductor manufacturing
continues to be the uncertainty in capability and control of defect density. Our research investigates the defect densities
of various DSA process applications in the context of a 300mm wafer fab cleanroom environment; this paper expands
substantially on the previously published DSA defectivity study by reporting a defect density process window relative
to chemical epitaxial pre-pattern registration lines; as well as investigated DSA based contact hole shrinking and report
critical dimension statistics for the phase separated polymers before and after etch, along with positional accuracy
measurements and missing via defect density.
Extensive pattern customization will be necessary to realize viable circuit patterns from line-space arrays generated by
block copolymer directed self assembly (DSA). In pattern customization with regard to chemical epitaxy of lamellar
block copolymers, quantitative and precise knowledge of DSA-feature registration to the chemical prepattern is critical.
Here we measure DSA pattern placement error for spatial frequency tripling and quadrupling indexed to specific lines in
the chemical prepattern. A range of prepattern line widths where minimal DSA placement error can be expected is
identified, and a positive correlation between DSA placement accuracy and prepattern uniformity is shown. Considering
the experimental non-idealities present in the chemical prepatterns used in this work that arise from using electron-beam
lithography, we anticipate that 3σ DSA placement errors will be at a minimal level if highly uniform chemical
prepatterns produced by optical lithography are used.
A photomask design flow for generating guiding patterns used in graphoepitaxial DSA processes is proposed and tested. In this flow, a new fast DSA model is employed for DSA structure verification. The execution speed and accuracy of the fast model were benchmarked with our previously reported Monte Carlo method. We demonstrated the process window verification using the OPC/DSA flow with the fast DSA model and compared this with experimental results in the guiding patterns simulated by e-beam lithography.
Directed self-assembly is an emerging technology that to-date has been primarily driven by research efforts in university
and corporate laboratory environments. Through these environments, we have seen many promising demonstrations of
forming self-assembled structures with small half pitch (<15 nm), registration control, and various device-oriented
shapes. Now, the attention turns to integrating these capabilities into a 300mm pilot fab, which can study directed selfassembly
in the context of a semiconductor fabrication environment and equipment set. The primary aim of this study is
to create a 300mm baseline process of record using a 12nm half-pitch PS-b-PMMA lamellae block copolymer in order to
establish an initial measurement of the defect density due to inherent polymer phase separation defects such as
dislocations and disclinations.
We survey several different approaches wherein self-assembly has been applied in lithographic patterning. As part of
this survey, we trace the evolution of block copolymer directed
self-assembly used as lithographic technique, and
summarize its current status. We compare a process based on block copolymer lithography with an equivalent process
based on spacer pitch division. We conclude with a brief discussion of design issues and future research in the field.
Directed polymer self-assembly which combines lithographically defined substrates and self-assembled polymers has
been considered as a potential candidate to extend conventional patterning techniques. In the past few years, successful
demonstration of directed self-assembly of block copolymer shows that this method can afford sub-lithographic
resolution or enhances dimensional control. However, integration of polymer self-assembly into standard lithographic
processes remains a challenge and requires new materials. In this paper, we demonstrate robust and thermally crosslinked
underlayer materials which control the orientation of block copolymer assemblies and are compatible with
standard lithographic processes. These new materials allow simple integration of perpendicularly oriented polystyrene-b-
polymethylmethacrylate (PS-b-PMMA) domains into standard manufacturing processes.
Material properties and directed self-assembly of a block copolymer containing hybrid material are presented in this
paper. The hybrid material, which is a mixture of poly(styrene-b-ethylene oxide) (PS-b-PEO) and organosilicate (OS),
shows morphologies of microdomains similar to those of organic diblock copolymers depending on the fraction of each
phase, i.e. PS and PEO+OS. This material system shows very strong segregation between phases, which provides well
defined microdomains in thin films even right after spin coating. The strong segregation also makes it possible to
generate microdomains of sub-10 nm length scale regime. The hybrid is found to be directed self-assembly (DSA)-
friendly, thus typical topographic and/or chemical guiding patterns can be used for DSA of the hybrid.
Directed self-assembly (DSA) of a block copolymer containing hybrid material using topographic guiding patterns is
presented in this paper. Lamellar microdomains of the hybrid material, which is a mixture of poly(styrene-b-ethylene
oxide) (PS-b-PEO) and organosilicate (OS) precursor, have orientational correlation length about five times longer than
typical organic block copolymers such as poly(styrene-b-methyl methacrylate) (PS-b-PMMA). The longer correlation
length (i.e. bigger grain size) makes it possible to align the lamellar microdomain into geometries similar to device
layouts. We report one-dimensional assembly of lamellar microdomains on substrates, which gives crossbar and multifinger
nanostructures.
We report the formation of robust organosilicate line patterns of ~20nm half-pitch on surfaces from the self-assembled
lamellar phase of a diblock copolymer of polystyrene and poly(ethylene oxide), PS-b-PEO, and an oligomeric
organosilicate precursor mixtures. We could control the orientation and alignment of microdomains of this hybrid to the
same degree of the thin films of organic block copolymers. By controlling the surface energy of substrates using dense
organosilicate, the perpendicular orientation of lamellae to the surface was achieved. Topographic prepatterns were
generated by E-beam lithography and used for alignment of the line patterns from lamellar phase. Upon removing the
organic component (i.e. PS-b-PEO) by thermal treatment, the organosilicate microdomains remain as periodic line
patterns with global alignment on surfaces. This method gives well-aligned silicon-containing line patterns with sublithographic
length scales on surface. The self-assembled organosilicate line patterns were successfully transferred into
underlying silicon substrate using anisotropic plasma etching.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.