For 28 nm node semiconductor devices and beyond, more aggressive resolution enhancement techniques (RETs) such as sub-resolution assist features (SRAF), litho-etch-litho-etch (LELE), self-aligned double patterning (SADP), self-aligned quadruple patterning(SAQP), and source-mask optimization (SMO) are utilized for the low k1 factor lithography processes. The litho-to-etch pattern fidelity is extremely critical since a slight lithography pattern weakness (ex: photoresist (PR) thickness loss, profile roughness ...) may be worsened after etch process due to the pattern loading effect, which will then induce physical defects that affect the final electrical performance. Rigorous lithography simulation can provide a reference of pattern weaknesses to modify mask layouts; but it is incapable of full-field mask data preparation. The post-etch critical dimensions (CDs) with a high accuracy optical proximity correction (OPC) model has become an important component; but it requires massive wafer data of post-litho and post-etch CDs, and will increase the runtime of the OPC flow for OPC modelers. In our previous submission [1-2], we had brought forth an algorithm that utilizes multi-intensity levels from conventional aerial image simulations to assess the physical profile through lithography to etch steps, and proposed a novel litho-etch correction method without suffering the lithography process window of SADP process. In this paper, we have improved this methodology and introduced a new approach of virtual etch target (VET) with virtual etch target threshold to assess post-etch CDs for various applications of memory patterning (dense features by SADP or SAQP process) and logic patterning (random features of lines, trenches and holes) more efficiently. The results not only matched post-etch wafer data, but also agreed with post-etch process window. Furthermore, this methodology can be utilized in generic OPC and post-OPC verification procedures to improve final pattern fidelity for logic and memory products.
Optical Proximity Correction (OPC) is one of the most important techniques in improving the resolution and pattern fidelity of optical lithography in the semiconductor industry. As the feature sizes and the process margin in nanometer technology become smaller, OPC models also need to be more accurate. However, improving the model accuracy often requires collecting more SEM data, which in turn results in a longer time for the entire flow. Therefore, an efficient method that can improve the accuracy of the OPC model while reducing the data collection time is crucial. Furthermore, machine learning has recently been applied to the lithography optimizations with some success, so it will be a useful technique to further optimize gauge sampling. This paper proposes an efficient OPC model gauge sampling flow using machine learning methods through data preprocessing, feature transformation and clustering to divide sample data into clusters and select a small amount of representative sample data from them to calibrate the model, achieving the same effect as using all data to calibrate. In order to test and verify the proposed approach, we use various types of patterns including line-space, contact hole, etc., to verify our results. By optimizing the gauge sampling flow, we can reduce the gauge requirements and modeling run time without sacrificing the accuracy and stability of the model.
For deep sub-wavelength lithography, loss of wafer fidelity, such as line end shortening and corner rounding, is a known patterning phenomenon due to the diffraction limitation of the optical systems and some other processing effects. Without properly correcting these effects, particularly for gate corner rounding at the active area, sometime device performance might be limited or wafer yield will be impacted. It is addressable to improve the feature fidelity by OPC (Optical proximity correction) methodology, which is intentionally to offset the light distortion while in mask synthesis process. However, it is most likely becoming better, but not be completely solved at all. In this paper, first, the acceptable gate corner rounding criteria is examined. From the design rule constraint for gate region and test key electrical performance result, primary geometrical specification is determined. At the same time, considering inline process variation, such as ADI CD/overlay variation and loading effect of etching process, then the OPC corner rounding target specification comes accordingly. Second, OPC countermeasure for gate corner rounding improvement is studied. Usually gate CD uniformity is increased near the corner region, that is, gate poly CD is larger than expectation at the beginning of the active area near the L-shape or U-shape poly pattern. Since the transistor performance will be degraded, the improvement for corner rounding is important for OPC development. Aggressive OPC recipe to manipulate the polygon is required. We make use of localized fragmentation rule as well as specific retargeting to eliminate the impact of corner rounding effect in optical system. This methodology for corner fidelity improvement was proved by the wafer result.
Beyond 40 nm technology node, the pattern weak points and hotspot types increase dramatically. The typical patterns for
lithography verification suffers huge turn-around-time (TAT) to handle the design complexity. Therefore, in order to
speed up process development and increase pattern variety, accurate design guideline and realistic design combinations
are required. This paper presented a flow for creating a cell-based layout, a lite realistic design, to early identify
problematic patterns which will negatively affect the yield.
A new random layout generating method, Design Technology Co-Optimization Pattern Generator (DTCO-PG), is
reported in this paper to create cell-based design. DTCO-PG also includes how to characterize the randomness and
fuzziness, so that it is able to build up the machine learning scheme which model could be trained by previous results,
and then it generates patterns never seen in a lite design. This methodology not only increases pattern diversity but also
finds out potential hotspot preliminarily.
This paper also demonstrates an integrated flow from DTCO pattern generation to layout modification. Optical
Proximity Correction, OPC and lithographic simulation is then applied to DTCO-PG design database to detect hotspots
and then hotspots or weak points can be automatically fixed through the procedure or handled manually. This flow
benefits the process evolution to have a faster development cycle time, more complexity pattern design, higher
probability to find out potential hotspots in early stage, and a more holistic yield ramping operation.
For 2x nm node semiconductor devices and beyond, more aggressive resolution enhancement techniques (RETs) such as source-mask co-optimization (SMO), litho-etch-litho-etch (LELE) and self-aligned double patterning (SADP) are utilized for the low k1 factor lithography processes. In the SADP process, the pattern fidelity is extremely critical since a slight photoresist (PR) top-loss or profile roughness may impact the later core trim process, due to its sensitivity to environment. During the subsequent sidewall formation and core removal processes, the core trim profile weakness may worsen and induces serious defects that affect the final electrical performance. To predict PR top-loss, a rigorous lithography simulation can provide a reference to modify mask layouts; but it takes a much longer run time and is not capable of full-field mask data preparation. In this paper, we first brought out an algorithm which utilizes multi-intensity levels from conventional aerial image simulation to assess the physical profile through lithography to core trim etching steps. Subsequently, a novel correction method was utilized to improve the post-etch pattern fidelity without the litho. process window suffering. The results not only matched PR top-loss in rigorous lithography simulation, but also agreed with post-etch wafer data. Furthermore, this methodology can also be incorporated with OPC and post-OPC verification to improve core trim profile and final pattern fidelity at an early stage.
For advanced technology nodes, it’s critical to address yield issues caused by process specific layout patterns with limited process window. RETs such as Model-Based Sub-Resolution Assist Feature (MB-SRAF) are introduced to guarantee high lithographic margin, but these techniques come with long runtime, especially when applied full-chip. There’s also lack of integrated solution to easily identify, define comprehensive patterns and apply different controls and/or constraints over these patterns through different stages of OPC/RET process.
In this paper, we introduce a flow that applies advanced RET such as MBSRAF or specific local corrections to layouts with critical and yield limiting patterns. We also introduce in-process pattern match based on Cadence topological Squish pattern. Overall, this new flow of Pattern-Aware OPC (PA-OPC) achieves better margin for hotspots, without sacrificing turnaround time and is able to handle more complex patterns and environment than traditional methods. We demonstrate the benefit of the new flow with fine-grained process window control over different patterns.
Self Aligned Double Patterning (SADP) has the advantage of dense array definition with good pitch control and is hence
useful for memory devices; but its feasibility of two-dimensional circuit patterns definition is restricted on the other hand.
In SPIE 2009, we had proposed the ideas of 30nm node NAND FLASH cell circuit critical feature (pickup, gate, contact
array) decomposition by SADP, based on manual design. The concerns of process integration as well as SADP
alignment algorithm for each mask step were investigated and countermeasures were presented.
In this paper, the previous works on manual-based pattern decomposition are extended to a more sophisticated use on
full-area NAND FLASH critical layer layout decomposition by utilizing an automated electronic design (EDA) tool.
The decomposition tool together with OPC and simulation tools are integrated to optimize the lithographic performance
of local critical patterns in each decomposed mask step, and comparisons have been made as well to investigate the
differences in layout splitting algorithm between EDA-based and manual-based decomposition. Finally, the full-area
(9350×12800um) layout decomposition has been successfully demonstrated on NAND FLASH Gate and Metal critical layers by using the EDA tool with improved 2D structure handling algorithms.
Low pass filtering of mask diffraction orders, in the projection tools used in microelectronics
industry, leads to a range of optical proximity effects, OPEs, impacting integrated circuit pattern
images. These predictable OPEs can be corrected with various, model-based optical proximity
correction methodologies, OPCs , the success of which strongly depends on the completeness of
the imaging models they use.
The image formation in scanners is driven by the illuminator settings and the projection lens
NA, and modified by the scanner engineering impacts due to: 1) the illuminator signature, i.e. the
distributions of illuminator field amplitude and phase, 2) the projection lens signatures
representing projection lens aberration residue and the flare, and 3) the reticle and the wafer scan
synchronization signatures. For 4x nm integrated circuits, these scanner impacts modify the
critical dimensions of the pattern images at the level comparable to the required image tolerances.
Therefore, to reach the required accuracy, the OPC models have to imbed the scanner illuminator,
projection lens, and synchronization signatures.
To study their effects on imaging, we set up imaging models without and with scanner
signatures, and we used them to predict OPEs and to conduct the OPC of a poly gate level of 4x
nm flash memory. This report presents analysis of the scanner signature impacts on OPEs and
OPCs of critical patterns in the flash memory gate levels.
When the feature size keep shrinking to 4Xnm, ArF lithography has already proceed to immersion process and became
mature enough. There is an important factor that will obviously influence photo process window in the initial phase
development is the optical reflection from imperfect substrate design. From previous experience, reflection would be
optimized to fine level by adjusting TARC (Top Anti-Reflection Coating) or BARC (Bottom Anti-Reflection Coating)
thickness through index of reflectivity. However, actual criteria of reflectivity for various ArF lithography process are
unlikely the same, e.g. different system type (wet/dry), node (feature size), illumination type, or even substrate effect,
and also need to be examined to retain a decent process window. In this paper, experimental result of various abovementioned
ArF process have been compared with reflectivity index from prolith simulation engine, and distinctly
clarified criteria of reflectivity for each case. Furthermore, effects of reflection to several optics caused patterning-related
results, e.g. IDB (Iso-Dense Bias), OPC (Optical Proximate Correction) accuracy, will also be discussed. The result also
shows severe criterion of reflection is requested as feature size getting smaller to 4Xnm node, and RET-applied
(Resolution Enhancement Technology) process has opposite result on it. From experimental results, IDB has been
obviously affected by reflection and become one important factor that influences reflection criterion examination.
Beyond 40nm lithography node, mask topograpy is important in litho process. The rigorous EMF simulation should
be applied but cost huge time. In this work, we compared experiment data with aerial images of thin and thick mask
models to find patterns which are sensitive to mask topological effects and need rigorous EMF simulations. Furthur more,
full physical and simplified lumped (LPM) resist models were calibrated for both 2D and 3D mask models. The accuracy
of CD prediction and run-time are listed to gauge the most efficient simulation. Although a full physical resist model
mimics the behavior of a resist material with rigor, the required iterative calculations can result in an excessive execution
time penalty, even when simulating a simple pattern. Simplified resist models provide a compromise between
computational speed and accuracy.
The most efficient simulation approach (i.e. accurate prediction of wafer results with minimum execution time) will
have an important position in mask 3D simulation.
Controlling overlay residuals to the lowest possible levels is critical for high yielding mass production success
and is one of the most pressing challenges for lithographers. In this paper, the authors will show how the use of certain
systematic diagnostic and analysis tools combined with a source of variance methodology can allow users to promptly
separate the overlay sources of error into different contributors and quickly make the proper corrections. This
methodology with the analysis tools provide a turnkey solution to help process and equipment engineers take fast
decisions and act quickly to overcome these overlay challenges, which is one of the key contributing factors to staying
ahead.
Double patterning technology (DPT) is the best alternative to achieve 3x NAND flash node by 193nm immersion
lithography before entering EUV regime. Self-aligned double patterning (SADP) process is one of several DPT
approaches, and most likely be introduced into NAND flash manufacture. The typical single exposure process in
40nm node flash will become into multiple exposure job in 32nm node by DPT or SADP, and the overlay control
among these multiple exposure will be highly restricted than single exposure process. To reach tight overlay spec.
mainly relies on the contribution of alignment system of scanner, but the well alignment mark design with high
contrast signal and outstanding sustainability are essential factor as well. Typically, the feature size patterned in
SADP around 3x nm that is too narrow to form essential signals that is qualified to be the alignment mark and the
overlay mark either. This paper, we will discuss 1. the performance of alignment algorithm on direct alignment and
indirect alignment 2. different alignment mark design and 3. film scheme dependence (layer dependence). And
experiment result show the new mark design performs sufficient contrast and signal for subsequent layer aligning
process.
As IC manufacturing goes from 45nm to 30nm node half-pitch, the lithography process k1 factor will fall below 0.25 by
using water-based ArF-immersion scanner. To bridge the gap between ArF-immersion and next generation lithography,
which is not ready yet for production, Double Patterning Technology (DPT) has been evaluated and identified as a
promising solution as it utilizes existing equipment and processes. Self Aligned Double Patterning (SADP) has the
advantage of dense array definition without overlay issue and is hence useful for memory device; but its characteristic
restricts the feasibility of two-dimensional circuit pattern definition on the other hand.
This paper describes the ideas of 30nm node NAND FLASH cell circuit critical feature (pickup, gate, contact array)
definition by decomposing the target patterns to SADP defined dense array in conjunction with cropping and/or
periphery masks steps. The concerns and issues of cropping/periphery mask step process integration as well as SADP
alignment algorithm are investigated, and the countermeasures with alternative process schemes and novel frame designs
are presented. Finally, simulation prediction has shown that the capability of 30nm NAND FLASH critical features
patterning with depth of focus equal to or above 0.15um is expected at each mask step by ArF-dry lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.