Extreme ultraviolet (EUV) materials are deemed as critical to enable and extend the EUV lithography technology. Currently both chemically amplified resist (CAR) and metal-oxide resist (MOR) platforms are candidates to print tight features on wafer, however patterning requirements, process tonality (positive or negative), illumination settings and reticle tonality (dark or bright) play a fundamental role on the material performance and in consequence on the material choice.
In this work we focus on the patterning of staggered pillars using a single EUV exposure, and this by looking at the lithographic and etching performance of CAR and MOR platforms, using metrics as process window, local critical dimension uniformity (LCDU), pillar edge roughness (PER), pillar placement error (PPE) and (stochastic) nano-failures.
As a bright field reticle shows a lower aerial image contrast to print pillars compared to the aerial image of contact holes using a dark field reticle, we also investigate alternative patterning solutions such as the tone reversal process (TRP) to pattern pillars from contact holes.
Sub 0.3k1 regime has been widely adopted for high volume manufacturing (HVM) of optical lithography due to various resolution enhancement technologies (RETs). It is not certain when such low k1 is feasible in EUV, though most technologies are available in EUV also. In this paper, experimental results on patterning performance of line space (L/S) and contact hole (C/H) in EUV lithography will be presented. First, practical k1 value with 0.33NA EUV lithography was investigated through experiment using NXE3300 EUV tool. Patterning limit, as defined by local critical dimension uniformity (LCDU) for C/H array pattern were measured with respect to various design rules. It was evaluated that the effect of off axis illumination (OAI) mode with various illumination conditions to improve the patterning performance and to reduce k1 limit. Then the experimental results of LCDU were compared with normalized image log slope (NILS) values from simulation. EUV source mask optimization (SMO) technologies to increase NILS with FlexPupil option of EUV scanner were evaluated and possibility of further improvement was also discussed.
Extreme Ultraviolet (EUV) is the most promising technology as substitute for multiple patterning based on ArF immersion lithography. If enough productivity can be accomplished, EUV will take main role in the chip manufacturing. Since the introduction of NXE3300, many significant results have been achieved in source power and availability, but lots of improvements are still required in various aspects for the implementation of EUV lithography on high volume manufacturing. Among them, it is especially important to attain high sensitivity resist without degrading other resolution performance. In this paper, performances of various resists were evaluated with real device patterns on NXE3300 scanner and technical progress of up-to-date EUV resists will be shown by comparing with the performance of their predecessors. Finally the prospect of overcoming the triangular trade-off between sensitivity, resolution, line edge roughness (LER) and achieving high volume manufacturing will be discussed.
Recently in the R&D area DRAM has shrunk to 1X nm, at the same time patterning technology has been one of
the major challenges on 1X nm DRAM. Less than 20nm line and space and less than 30nm contact hole patterning
are basically needed for 1X nm DRAM. Currently ArF immersion extension such as DPT (Double Patterning
Technology), SADP (Self-Aligned Double Patterning) and SAQP (Self-Aligned Quadruple Patterning) shows
robust patterning performance relative to EUV/DSA and become established process as a baseline for 1Xnm
DRAM. But cost of ownership and process complexity of DPT/SADP can be the big burden for volume production.
Furthermore too many DPT/SADP can make DRAM shrink meaningless. In spite of current issues on DPT/SADP,
EUV source power has been the most critical issue so far. And now source power issue is pushing development of
high sensitive EUV resist and related process. In this paper, author will compare EUV PTD and NTD in view of
image contrast and swelling, also evaluate patterning performance between EUV PTD and NTD, and finally
describe current status and issues of EUV NTD.
In general, contact hole patterning has various challenges such as narrow process window, large mask error
enhancement factor (MEEF), poor circularity, and low image contrast compared to line & space patterning.
For that reason, it is difficult to make sub-50nm size contact hole with 193nm ArF immersion single exposure.
In order to achieve sub-40nm contact hole patterning, we have need of shrink bias over 20nm. However,
conventional pattern shrink technology such as resist reflow process is difficult to get shrink bias over 20nm
because the shrink volume gets smaller as the pitch gets narrower. Recently several authors have specifically
noted the advantages of using negative tone development for patterning narrow trenches. A new negative tone
imaging with application of new developer to conventional ArF immersion resist materials is proposed for
small contact hole pattern formation. Significantly better LWR and resolution on small contact hole pattern
were observed with this negative tone development compared with positive tone development.
In this paper, we will introduce the experimental results of sub-40nm contact hole patterning using negative
tone systems for contact hole patterning. We will report the results of comprehensive studies of defects
originating in negative tone photolithography and reveal the defect generation mechanism of each negative
tone imaging-specific defect types.
Contact hole patterning is more difficult than line/space patterning as mask error factor is higher in contact hole
patterning which has 2-dimensional patterns. As the industry moves towards 40nm node and beyond, the challenges
associated with contact hole having a manufacturable process window have become increasingly difficult. Current
1.35NA ArF lithography is capable of printing 50nm contact hole with a stable process window at best. Conventional
contact hole patterning processes such as resist reflow and RELACS are no longer able to be used for half-pitch 40nm
contact hole pattern because we have to shrink not only hole diameter but also pattern pitch. In this paper, we will
demonstrate and compare the patterning performance of the mesh patterning processes including litho-etch-litho-etch, cap freezing and self freezing process.
In recent years ArF immersion lithography in memory devices, topcoat process has become baseline process in mass
production in spite of its additional process steps and high cost-of-ownership. In order to overcome low process
efficiency of topcoat process, high throughput scanner with higher scan speed and advanced rinse modules for
decreasing defectivity are under development. Topcoat-less resist is also upgraded gradually which contains
hydrophobic additives enables the extreme patterning without topcoat and high speed scanning. But current topcoat-less
process has not matured yet for the dark-field mask compared to bright-field because of the blob defect in unexposed area. To minimizing blob defect level both material and process sequence should be optimized effectively. The authors have focused on blob defect and litho performance of topcoat-less resist process for dark field application in 2Xnm node devices.
KEYWORDS: Reflectivity, Photoresist materials, Etching, Immersion lithography, System on a chip, Lithography, Polarization, Carbon, Process control, Polymers
The extension of current 193nm immersion lithography technology is depending on increasing the numerical aperture
(NA). High-resolution imaging requires the decrease of photoresist thickness to compensate for smaller depth of focus
(DOF) and prevent pattern collapse. Poor etch selectivity between photoresist and BARC reads to the use of thinner
BARC with faster etch-rate.
Also, controlling reflectance over a wider range of incident angles for hyper-NA above 1.0 gives more challenge for
thin BARC. To reduce substrate reflectivity, various material strategies (dual-layer BARC such as organic/inorganic
BARC or organic/organic BARC, Si-based ARC/spin-on carbon (SOC), and so on) have been introduced through many
papers. Organic dual-layer BARC is capable of suppressing reflectivity through wide range of incident angles. But,
the inevitable increase of its thickness is not a desirable direction due to the decreasing trend of photoresist thickness.
When amorphous carbon (a-C) is used as a hardmask for sub-stack, the combination of organic/inorganic BARC (i.e.
SiON) is currently well known process. Si-ARC/SOC may be the promising candidates of hardmask because Si
component of Si-ARC affords a high etch selectivity to photoresist and its combination with SOC decreases reflectance.
The optical constants of above organic materials can be tuned to control the substrate reflectivity for hyper-NA.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.