As technology advances, the demand for tighter photomask final flatness specifications becomes greater. Studies have
shown that the process of mounting a pellicle induces the largest change in flatness in photomask fabrication. Photomask
pellicles play an important role in flatness due to the many components in the mounting process. For example, pellicle
frame flatness, pellicle adhesive, mounting force, mounting time, mounting orientation and mask backing shape during
mount all can play a role in changing the mask shape during pellicle mount. Many of these factors have been
investigated over the last several years [1][2][3][4]. Recent studies have demonstrated that the height of the pellicle
frame also has a significant impact on the final flatness with lower stand off frames resulting in reduced pellicle
influence on mask distortion [5]. This paper will examine the flatness influence factor as a function of mounting
direction and mask backing variations. For these experiments, the same pellicle frame was remounted for each set of
experiments to eliminate external pellicle frame flatness factors and to minimize the amount of data deviations. Four
different types of mask backing types were selected that differed in the contact area with the mask in particular pressure
points. The mask backing types consist of a border frame, 4 point pressure points, a full backing plate (quartz substrate),
and a pellicle frame. In addition to using the four different types of mask backings, the pellicles were also mounted both
in the vertical and horizontal directions in determining final photomask flatness. This work demonstrates that frame
flatness and shape play the largest roles and mounting force, backing plate and mounting orientation have less of an
effect.
Nancy Zhou, Ken Racette, David Hasselbeck, Monica Barrett, Robert Nolan, Michael Caterer, Takashi Mizoguchi, Satoshi Akutagawa, Glenn Dickey, Toru Shirasaki
Previous work has shown that photomask blank flatness as well as photomask patterning and pelliclization all play an
important role in finished photomask flatness. Additional studies have shown that pellicle mounting techniques,
pellicle adhesives, frame flatness and shape and pellicle mounting tools play a role as well. It has become clear that
frame flexibility, coupled with frame mounting surface flatness and shape are the principal factors influencing the
pellicle effect on the mask distortion. Pellicle suppliers have begun to evaluate various polymers as potential
replacements for the standard aluminum frame in current use. The elasticity of the frame adhesive has also been adjusted
to evaluate its effect on the pellicle influence on mask flatness.
This paper describes some joint evaluations between IBM, Toppan and ShinEtsu, performed to determine the effect of
pellicle frame composition,, mount surface flatness, adhesive elasticity and adhesive surface flatness on the distortion of
photolithography masks. It demonstrates that polymer pellicle frames with more flexible adhesive improve finished
mask flatness approximately the same amount as reducing the total frame standoff height of aluminum frames with
conventional adhesive.
Advanced immersion lithography utilizes higher numerical aperture (NA) stepper lenses resulting in higher angles of
light illumination through photomasks. Transmission in conventional pellicles (830 nm thickness) is generally
maximized at 0 degree illumination and decreases significantly at the higher angles. Most pellicle suppliers have
developed thinner pellicle membranes (~280 nm) which allow considerably improved transmission of light at angles up
to 20 degrees. In addition, aluminum frames have been shortened, potentially allowing inspection closer to the inside of
the frame and reduced mask flatness distortion upon pellicle mount. Suppliers have also developed advanced adhesives
which reduce outgassing even beyond the low levels obtained with current 45 nm pellicles. In this paper, advanced
immersion pellicles from several suppliers are evaluated and compared with conventional 45 nm pellicles for the
following quality parameters: physical durability, foreign material, ease of demounting and glue removal, chemical
outgassing, mask flatness distortion and susceptibility to radiation damage. Improvements in mask inspection and
pellicle optical transmission at higher incident angles are also evaluated and are discussed.
During the development of optical lithography extensions for 32nm, both binary and attenuated phase shift Reticle
Enhancement Technologies (RETs) were evaluated. The mask blank has a very strong influence on the minimum feature
size and critical dimension (CD) performance that can be achieved on the finished reticle and can have a significant
impact on the ultimate wafer lithographic performance. Development of a suitable high resolution binary mask making
process was particularly challenging. Standard chrome on glass (COG) binary blanks with 70 nm thick chrome films
were unable to support the required minimum feature size, linearity, and through pitch requirements. Two alternative
mask blank configurations were evaluated for use in building high resolution binary masks: a binary (BIN) mask blank
based on the standard attenuated PSM blank and an Opaque MoSi on Glass (OMOG) mask blank consisting of a newly-
developed opaque MoSi [1]. Data comparing the total process bias, minimum feature size, CD uniformity, linearity,
through pitch, etch loading effects, flatness, film stress, cleaning durability and radiation durability performance of the
different binary and attenuated PSM mask blanks are reported. The results show that the new OMOG binary blank offers
significant mask performance benefits relative to the other binary and attenuated PSM mask blanks. The new OMOG
blank was the opaque mask blank candidate most capable of meeting 32nm binary mask fabrication requirements..
As optical lithography is extended for use in manufacturing 45 nm devices, it becomes increasingly important to
maximize the lithography process window and enable the largest depth of focus possible at the wafer stepper.
Consequently it is very important that the reticles used in the wafer stepper be as flat as possible. The ITRS roadmap
requirement for mask flatness for 45 nm node is 250 nm. To achieve this very tight reticle flatness requirement, the stress
of each film present on the mask substrate must be minimized. Another key reticle specification influenced by film stress
on the mask blank is image placement. In this paper, we will describe the development and detailed characterization of a
new low stress Molybdenum Silicide (MoSi) film for use in manufacturing 45 nm node critical level attenuated phase
shift masks to be used in 193 nm immersion lithography. Data assessing and comparing the cleaning durability, mask
flatness, image placement, Critical Dimension (CD) performance, dry etch properties, phase performance, and defect
performance of the new low stress MoSi film versus the previous industry standard A61A higher stress MoSi attenuator
film will be described. The results of our studies indicate that the new low stress MoSi film is suitable for 45 nm mask
manufacturing and can be introduced with minimal changes to the mask manufacturing process.
Previous work has shown that photomask blank flatness as well as photomask patterning and pelliclization all play an
important role in finished photomask flatness. Other work has shown that pellicle mounting techniques and pellicle
adhesives play a role as well. In this work, a comparison of the impact of various pellicle types, frame flatness, frame
shape and pellicle mounting tools on final photomask flatness will be shown. Pellicles with various adhesives, frame
shapes and flatness were mounted on blanks and completed photomasks using several mounting tools and the pellicle
induced flatness change was measured. These data will be discussed with the objective of demonstrating the effects of
pellicle type and mounting tool on photomask flatness.
The imaging of an immersion lithography system has different sensitivities to optical errors such as reticle non-flatness,
image plane deviation and laser bandwidth when compared to traditional dry imaging systems. The immersion
sensitivities mentioned above are further amplified when higher fluid index is used. The resultant effect of these
enhanced sensitivities leads to degraded focal plane flatness centering on wafers and may also lead to larger ACLV and
machine to machine CD matching errors than expected. In this paper, we demonstrate the increased sensitivity factors
both mathematically and experimentally. We perform a detailed error component analysis to single out an immersion
related factor and its impact to CD control. For this purpose we independently quantify the reticle non-flatness directly
on the mask. We also identify possible compensation solutions, such as reticle shape correction, improved focal plane
setup methodology and the incorporation of focus blur into an OPC model, in order to alleviate an adverse effect of
immersion on ACLV and CD stability over time and over different tool sets.
Photomask pellicles play an important role in determining final photomask flatness, which is important to photomask optical performance. This study explores the impact of the pellicle frame flatness and pellicle-to-mask adhesive on photomask flatness. In addition, the change in mask flatness as a function of time after pellicle mounting is studied. Implications of these results on photomask manufacture and photolithography are discussed.
Excessive dark loss has been observed along the edge nearest the lid of aged chemically amplified resist blanks, which was traced to organic acid contamination evolving from the acrylic plastic lid of the shipping box. Thermal Gravimetric Analysis (TGA) combined with Fourier Transform Infrared Spectroscopy (FTIR) of the shipping box lid material have proven useful in identifying that organic acid evolves from the plastic at 110°C. An alternative plastic shipping material offered by the supplier was tested with the same analysis technique and no organic acid was evolved during the test. To accelerate the aging effect, both lid materials were baked in an oven for 4 days, and no excessive dark loss was observed with the new shipping material. An evaluation with chemically amplified resist comparing storage in the original shipping materials at ambient conditions vs. storage in dry nitrogen demonstrate that nitrogen storage improves, but does not eliminate, the excessive dark loss from the original plastic lid material.
Critical dimension (CD) requirements are continually tightening for mask manufacturing for mean to target and uniformity control as advanced technology nodes are introduced. In addition, the CD repeatability of structures relevant to optical proximity correction is also becoming more critical with each advancing node. Chemically amplified resists commonly in use in the mask industry are sensitive to post coat delay (PCD), storage and handling conditions, and environmental contaminants. In this paper, the CD sensitivity of a commonly used photoresist is characterized as a function of post coat delay. The impact to average CD, uniformity, linearity, thru pitch, clear to dark, and e-beam proximity effect are all examined. An analysis of post develop resist thickness loss is presented to
supplement the understanding of CD uniformity behavior. In addition, the impact of several storage scenarios is evaluated including storage in a sealed foil bag, an unsealed dry nitrogen environment, and storage boxes made from two different materials. The impact of storage conditions on CD uniformity is critical and is shown to be strongly influenced by the choice of material for the containers and the storage environment.
In lithography systems, the need for increased resolution requires larger numerical apertures and shorter illumination wavelengths. Both of these requirements cause a reduction in the system’s depth of focus resulting in the need for flatter photomasks with specifications under 0.5 micron. Currently the mask blank substrates are measured after polishing, and all subsequent process steps are assumed to have little or no impact on the final mask flatness. With today’s ever tightening flatness requirements, this assumption can no longer be taken for granted.
This paper investigates the distortions seen at the reticle surface induced by the mounting of a standard optical pellicle frame to the photomask and relates these distortions to the pellicle frame flatness. The experiment involves using a set of mask blanks that are better than 0.5 micron flatness with similar form errors before attaching the pellicle. Two groups of pellicles are used to create two distinct frame flatness populations: one set assumed to be within specification as purchased; and a second set of pellicles that are intentionally distorted. Mask flatness is compared before and after mounting the pellicles, and all frames are measured for flatness. Correlation between the frame flatness and form to the measured distortion on the reticle surface are made and discussed, and a practical guideline for selecting an appropriate blank flatness and pellicle flatness to achieve the desired reticle flatness is suggested.
Photomask substrate, blank, and finished mask flatness are becoming more serious concerns for photomask fabrication. Most commercial and captive mask houses now use a combination of mask blanks at various flatness levels from >2.0um to <0.5um, measured as total indicated range, or TIR. As mask feature sizes are reduced, depth of focus becomes significantly smaller, driving the need for tighter flatness specifications.
Photomask blank suppliers generally specify mask blank flatness based on measurements of quartz substrates before films are deposited. The mask substrates start with unique, non-flat shapes resulting from polishing and are further deformed by the stress of deposited films. Mask patterning, which removes some of the deposited films, has the potential to change the shape and flatness of the mask. The attachment of a pellicle and frame also has the potential to distort the mask. Since the goal of the mask maker is to provide a finished mask meeting all requirements, including flatness, it is important to understand the effects of each step in the flatness life of the photomask.
This paper provides flatness data from the following process steps: quartz substrate, chromium coating, phase shifter coating, resist coating, patterned mask and pelliclized mask. A correlation is made of substrate and blank flatness and shape to finished mask flatness, with proposed practical guidelines for control of final mask flatness.
Fabrication of EUVL masks requires formation of both a repair buffer layer and an EUV absorber layer on top of a molybdenum/silicon (Mo/Si) multilayer coated mask blank. Alteration of the Mo/Si multilayer during etch, repair or cleaning of the EUVL mask can be detrimental to the reflectivity and thus the functionality of the final mask. IBM’s Next Generation Lithography (NGL) group has reported on EUVL mask fabrication based on an absorber of low stress chromium (Cr) and a buffer layer of silicon dioxide (SiO2). Due to poor etch selectivity between SiO2 and the underlying silicon capping layer, the finished masks had non-uniform and reduced EUV reflectivity after processing. This led to the development of an alternative absorber stack combination of an absorber layer of low stress TaNx on a buffer layer of low stress Cr. This paper describes the improved reflectivity uniformity of this type of mask along with several aspects of mask quality, such as CD control and image placement.
Fabrication of low defect density mask blanks remains as one of the key challenges to commercialization of Extreme Ultraviolet Lithography (EUVL). A low defect deposition process for EUV-reflective Mo/Si multilayers has been developed on 200mm silicon substrates because of the availability of sensitive, fast defect inspection tools for wafers. A defect level of 0.05 defects/cm2 at 90 nm defect size detection threshold can now be achieved repeatedly on wafers. We upgraded the low defect multilayer deposition (LDD) system to allow coating of standard format 152mm square mask blanks. Mask blank defect inspection was performed at IBM with a typical sensitivity of 100 nm PSL equivalent. We used the single reticle SMIF pod (RSP) standard both for clean, automated handling and for shipping of the mask substrates and blanks. The added defect level during shipping was improved to 0.02 defects/cm2. We achieved a process-added defect density of 0.38 defects/cm2 on a lot of 5 blanks, which was the same defect level as on wafers coated before and after the blanks. We also improved the reflectance and thickness control on blanks to achieve 66.5% EUV reflectance, and a thickness uniformity of 0.07% P-V or 0.04% rms. The improved reflectance and uniformity meet the SEMI P38 specifications for EUVL mask blanks. We have discovered no differences in defect levels or film quality in the coating of standard square format as compared to silicon wafers.
The ITRS roadmap for lithography aggressively shrinks many features on the mask from the critical dimension to the size of defect that must be eliminated. When a defect is larger than 30% of the minimum image size, it is considered detrimental to the mask performance. Unfortunately, the sensitivity of current inspection systems does not keep the pace dictated by ITRS for development work. The 65 nm node mask development teams are largely unaware of defects smaller than 90 nm. This gap only widens for NGL masks. The scarcity of high-sensitivity inspection systems is leaving many mask makers and their customers unsure of the impact, or even presence of, defects on the reticle. This paper will provide an analysis of mask blank defects using several different inspection systems with both low and high sensitivity levels. Defect sources from carriers and shipping will be explored. Finally, the likelihood of removing these particles once they have been lodged on the mask surface is discussed.
The Next Generation Lithography (NGL) Mask Center of Competency (MCoC) has been developing mask technology to support all of the major next generation lithographies for several years. Cross-cutting process development has been applied to generate progress in both the membrane and reflective mask formats. The mask technology has been developed to early capability stage for all of the mask formats. Proximity x-ray masks, although only for certain niche applications, are a very developed mask format. This information has been used to produce electron beam projection masks, in both continuous membrane and stencil formats, and extreme ultraviolet lithography masks. In this paper, we discuss the status of the lithography technology development and the obstacles that remain between the current early development capability and the availability for manufacturing.
The extreme ultraviolet lithography (EUVL) mask differs from its predecessors in many ways. The most significant change is that the EUVL mask is reflective, introducing many new film layers and mask sensitivities. An additional complication is the small linewidths associated with the 45-nm node that is targeted for EUVL mask introduction. This paper concentrates on the physical specifications associated with the 45-nm node EUVL mask. Relative to current masks, the defect levels must be lower and the film quality must be higher. Standard cleans may be incompatible with new mask requirements. To understand the development requirements, the cleaning efficiency, film removal, film roughness, defect levels and film reflectivity are quantified on both EUVL mask film monitors and EUVL masks. Target specifications and measured properties of the 45-nm node masks will be compared.
Fabricating masks for extreme ultraviolet lithography is challenging. The high absorption of most materials at 13.4 nm and the small critical dimension (45 nm) at the target insertion node force many new features, including reflective mask design, new film choices, and stringent defect specifications. Fabrication of these masks requires the formation and patterning of both a repair buffer layer and an EUV absorber layer on top of a molybdenum/silicon multi-layer substrate. IBM and Photronics have been engaged in developing mask processing technology for x-ray, electron beam projection and extreme ultraviolet lithographies at the Next Generation Lithography Mask Center of Competency (NGL-MCoC) within IBM's mask facility at Essex Junction, Vermont. This paper describes recent results of mask fabrication on 6 x 6 x 1/4 inch EUVL substrates (quartz with molybdenum silicon multi-layers) at the MCoC. Masks fabricated with high and low-stress chromium and externally deposited chromium absorber films are compared. In particular, etch characteristics, image size, image placement, line edge roughness, and defect levels are presented and compared. Understanding the influence of the absorber film characteristics on these parameters will enable us to optimize the effectiveness of a given absorber film or to select acceptable alternatives.
Fabrication of masks for EUVL requires the formation and patterning of both repair buffer and EUV absorber layers on top of a molybdenum/silicon multi-layer substrate. Films used for buffer and absorber should have low stress, good uniformity and good etch selectivity to underlying layers. Low stress chromium and tantalum nitride absorber film deposition processes have been developed and characterized on fused silica substrates at the MCoC. Sputtered silicon oxide was used as the buffer layer for work reported in this paper. This paper describes the results of EUVL mask processing at the MCoC, including deposition and etch capabilities of these films. Properties of the low stress chromium and tantalum nitride materials will be discussed, including stoichiometry, stress, uniformity and density. The chromium and tantalum nitride films have been integrated into a mask patterning process with a silicon oxide buffer layer. Etch bias and etch profiles from the two absorber films along with etch selectivities to the underlying silicon oxide layer will be presented. Image size results for both types of absorber layers will be presented, including the improvement in etch bias using the low stress chromium Complete EUVL masks with 160 nm feature sizes have been fabricated with these processes and micrographs of nested lines and elbows will be presented.
We have developed a novel Si-based composite thin film for attenuated phase shift mask(APSM) applications at 193/157 nm wavelength. The fabrication involved sputtering deposition, either with dual target or a single composite target. At 193 nm, these thin films show tunable optical transmission and good stability against long term radiation, common chemicals used to strip photoresist, and exhibit good dry etch selectivity to quartz. Specifically, a film with initial transmission of 5.72%,the total increase oftransmission was 0.27% for doses up to 5.4 kJ/cm2. Also, the increase of transmission was 0.19% after 60 mm of cleaning treatment in acid based solution (H2S04H20210:1 at 95°C). The dry etch selectivity over fused quartz was greater than 5:1. The transmission of the films at 193 nm can be tuned from 0 % to 20 % by varying the thin film composition, process gas flow and composition, and deposition pressure. This wide transmission window provides the possible extension down to 157 nm wavelength.
Masks for electron projection lithography require the use of thin membrane structures due tot he short scattering range of electrons in solid materials. The two leading mask formats for electron projection lithography are the continuous membrane scatterer mask and the stencil mask. The reduced mechanical stability of the membranes used for electron projection masks relative to conventional optical masks leads to increased levels of process induced image placement distortions. This paper evaluates the image placement distortions due to the pattern transfer processes on the continuous membrane mask format. Image placement was measured from both a cross-mask and intramembrane perspective to evaluate the effects of different patterns, pattern densities and density gradients on the observed image placement and the experimental results obtained were then compared to those predicted by finite element modeling.
Masks for next generation lithographies present difficult technical processing, challenges for meeting the demanding requirements of future lithography. The Next Generation Lithography Mask Center of Competency is applying the proximity x-ray mask technology developed by the IBM advanced mask facility to all major NGL technologies. Mask fabrication has been demonstrated for proximity x-ray, scattering and stencil electron beam projection, and extreme UV lithographies. The imaging layer for these mask technologies differ significantly from one another and yet present similar processing challenges. This paper discuses the process technology developed at the NGL-MCOC associated with patterning the absorber layers. Issues with chemically amplified resist coating and baking and absorber etching are identified and associated with observed image size variations. The difficulty in the aspect ratio of. the absorber drives much of the processing requirements for the different NGL formats. High-aspect ratios on stencil and proximity x-ray masks require more difficult etch processes than those on lower-aspect ratio absorbers.
Mask fabrication is one of the difficult challenges with all Next Generation Lithography (NGL) technologies. X-ray, e-beam projection, and ion-beam projection lithography all use some form of membrane mask, and extreme ultraviolet (EUV) lithography uses a reflective mask. Despite some differences, the various mask technologies share some common features and present similar fabrication difficulties. Over the past several years, the IBM Advanced Mask Facility (AMF) has focused on the fabrication of x-ray masks. Several key accomplishments have been demonstrated including fabricating masks with critical dimensions (CD) as small as 75 nm, producing line monitor masks in a pilot line mode to evaluate mask yields, and fabricating masks to make working microprocessors with the gate level defined by x-ray lithography. The experience on fabricating 1X x-ray masks is now being applied to the other NGL mask technologies. Progress on membrane and absorber materials can be applied to all the technologies, and patterning with advanced e-beam writing with chemically amplified resists utilizes learning from writing and baking on x-ray membrane masks.
This paper provides an in-depth report of the advanced materials and process technology being developed for x-ray mask manufacturing at IBM. Masks using diamond membranes as replacement for silicon carbide are currently being fabricated. Alternate tantalum-based absorbers, such as tantalum boron, which offer improved etch resolution and critical dimension control, as well as higher x-ray absorption, are also being investigated. In addition to the absorber studies, the development of conductive chromium- based hard-mask films to replace the current silicon oxynitride layer is being explored. The progress of this advanced-materials work, which includes significant enhancements to x-ray mask image-placement performance, will be outlined.
IBM's Advanced Mask Facility in Essex Junction, Vermont, is responsible for the company's x-ray mask technology development and manufacturing. During the first half of 1997, this facility replaced the gold x-ray absorber with a refractory material in order to provide a greater compatibility between proximity x-ray masks and semiconductor manufacturing lines. Significant progress has been made to position x-ray masks are currently being delivered for advanced logic and memory applications. This paper discusses key process improvements such as the implementation of new deposition, annealing, etching and measurement equipment. Performance of critical parameters is presented, including the quality of substrates and absorber film stacks, image size and placement control, and defect density. The technology challenges and IBM's roadmap for application masks below 130nm are also provided.
Silicon oxynitride removal processes are characterized for incorporation into the refractory x-ray mask fabrication sequence as the hardmask removal step. It is essential that his process not alter final image placement, one of the most critical parameters affecting x-ray mask performance. In this paper, we show that 10:1 buffered HF causes large image placement movement when used on refractory x-ray masks. This is because etching in HF has deleterious effects on TaSi, resulting in highly compressive film stress. Materials analysis indicates the presence of hydrogen in the TaSi films after being exposed to HF, which is most likely affecting the film stress. Alternative processes being investigated include using a more dilute 100:1 buffered HF solution and a CHF3 plasma dry-etch chemistry. Both of these options completely remove the SiON hardmask without causing any significant image placement movement and result in high quality refractory x-ray masks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.