A negative tone, aqueous base developable molecular glass resist, 3Ep, is presented that is developable in both standard organic solvents and aqueous base developers. The resist shows slightly better imaging performance in organic solvent versus aqueous base and shows a shift of E0 away from zero dose. Compared to a previously reported 4Ep resist, 3Ep appears to have a more controlled polymerization rate at equivalent conditions, which results in higher-quality patterned features. 3Ep also requires use of an underlayer to avoid de-wetting during aqueous base development.
A series of five negative tone epoxide functionalized molecular resists have been synthesized and have had their glass transition temperature (Tg) and lithographic contrast behavior characterized. Introducing rigid structural features in the form of aromatic rings to a resist was found to increase its glass transition temperature. All resists but one, BHPF-2Ep, were found to have poor film stability which required the use of an underlayer. A trend was observed where PEB conditions performed at temperatures much higher than the Tg of the molecular resist was found to induce propagation of polymerization outside of exposed regions. Di-functionalized resists were observed to have poor sensitivity due to their low degree of functionalization. A resist was synthesized (BHPF-2Ep) which was capable of resolving features down to 20 nm with an imaging dose of 70.5 mJ/cm2.
Many different types of non-traditional resist designs have shown promise for future generations of patterning, but there is a greater need for understanding and developing additives and ancillary materials for these novel resists compared to traditional polymeric positive tone systems which are quite mature. With the goal of meeting some of these needs, we carried out multiple different studies of negative tone molecular resists based on epoxide cross-linking. We have developed methods for controlling cross-linking in these materials using photo-decomposable nucleophiles (PDNs) which have shown resolution improvements in one resist from 26 nm down to 18 nm so far. Aqueous base developed systems have been made by introducing phenol groups to these resists. Although the first molecule designed successfully patterns in aqueous base, its performance is limited compared to organic solvent development. A series of di-functional epoxide molecular resists have been made and generally show much worse sensitivity than a fourfunctional epoxide resist. Underlayers (ULs) have been designed specifically to leverage the high reactivity of epoxides to create ULs that can cross-link to the resist. These ULs improve the adhesion of some of these molecular resists, but also show significant sensitivity improvements compared to imaging on bare silicon. Sensitivity in one resist was improved by 5-12 mJ/cm2 simply by using the UL.
A resist imaging design that utilizes photoacid inhibition of cationic polymerization and cross-linking during a postexposure
bake step has been studied. The key to the design approach is the use of two different polymerization
catalysts/initiators: (1) a photoacid produced from a photoacid generator (PAG) upon exposure of the resist that can
result in polymerization and cross-linking of the resist matrix and (2) a thermal cross-linking catalyst (TCC) designed to
thermally catalyze epoxide-phenol cross-linking. The TCC can be chosen from a variety of compounds such as
triphenylphosphine (TPP) or imidazole. When only one of these catalysts (e.g TPP or photoacid) is present in an
epoxide and phenol containing resist matrix, it will individually catalyze cross-linking. When they are present together,
they effectively quench one another and little to no cross-linking occurs. This approach can be used to switch the tone of
a resist from negative (photoacid catalyzed) to positive (TCC catalyzed and photoacid inhibited). The effect of the ratio
of TCC:PAG was examined and the optimal ratio for positive tone behavior was determined. Resist contrast can be
modified by optimization of epoxide:phenol ratio in the formulation. Dual tone behavior with positive tone at low dose
and negative tone at higher doses can be observed in certain formulation conditions. Initial EUV patterning shows poor
results, but the source of the poor imaging is not yet understood.
KEYWORDS: Line edge roughness, Polymerization, Optical lithography, Extreme ultraviolet, Molecules, Deep ultraviolet, Polymers, Diffusion, Glasses, Control systems
Negative tone resists based on cross-linking via epoxide/cationic polymerization have a variety of potential advantages
over more traditional positive tone resists based on photoacid catalyzed deprotection including low outgassing, intrinsic
diffusion control, and improved pattern collapse performance through the higher modulus provided by a cross-linked
network. Based on the promising baseline performance achieved previously in simple negative tone systems composed
only of an epoxide functionalized molecular glass and a photoacid generator, a series of different methods and additives
that can be used to control the extent and rate of cross-linking in such systems have been developed and are reported here
which allow for even further improvement in resist performance. Simple addition of base quencher, as is used in
conventional chemically amplified resists, is ineffective in these systems because the patterning reaction mechanism is
different. Any control method must work by modifying the extent and rate of cationic polymerization of epoxides. By
adding molecules containing phenolic OH groups to such an epoxide resist, one can slow the extent of cross-linking due
to introduction of an additional reaction pathway and often a concomitant increase in the resist resin glass transition
temperature. Generalized additives similar to base quencher were also developed based on the addition of strong
nucleophiles such as triphenylphosphine which act essentially as chain termination agents. This approach allows for
improved resolution and LER in negative tone epoxide resist systems. A more superior additive was developed that can
be described as a photodecomposable nucleophile (PDN). The unexposed PDN acts similarly to the strong nucleophile
additives in that it terminates chain propagation. Upon exposure, the PDN can act like a chain transfer agent or an
additional initiator, but no longer has the effect of completely terminating chain propagation. This approach allows for
high levels of control in the nominally unexposed regions of the resist, but maintains high efficiency of cross-linking in
the most highly exposed regions. One particular implementation of a PDN used in this study is the blending of a PAG
(i.e. triphenylsulfonium triflate, TPS-Tf) with a more nucleophilic anion that plays the role of a PDN, with the common
and highly effective, non-nucleophilic PAG that is conventionally used in epoxide photopolymerizations (i.e.
triphenylsulfonium hexafluoroantimonate, TPS-SbF6). Addition of only a few percent of TPS-Tf to a baseline epoxide
resist formulation shows a 5-10 nm improvement in ultimate resolution and a reduction in LER to around 65% as
compared to the baseline resist without the PDN additive while only incurring a moderate increase in imaging dose. By
modulating the amount of the different polymerization control additives, the performance of a particular epoxide resist
was improved from a resolution of greater than 30 nm half pitch and an LER of around 9 nm to a resolution of ~20 nm
half pitch, with an LER of around 4 nm, and a sensitivity of 18 mJ/cm2. By increasing the additive loading even further,
the resolution was improved to ~18 nm half pitch, although with an increase in imaging dose to 39 mJ/cm2.
Conventional chemically amplified resists have several issues that can potentially limit their capability for sub-40 nm imaging. One of the major issues at this size scale is that the mechanical strength of positive tone CARs limits the amount of stress they can withstand during development, rinse, and drying, thus leading to problems with pattern collapse due to the high capillary forces generated during drying. This problem is exasperated by the fact that linear polymers show dramatically reduced modulus at sub-50 nm features sizes. To improve on this problem, we have made a positive tone resist based on network depolymerization of molecular resists. The resist thermally cross-links after being spin cast into thin film form through reactions between vinyl ether groups and carboxylic acid groups. By cross-linking the resist to form a dense three dimensional polymer network, the mechanical strength of the resist is greatly improved compared to linear polymers. The network is depolymerized using an acid catalyzed reaction to create development contrast that allows for patterning of the resist via development in either aqueous base or organic solvent. One drawback of the current resist design is that the free carboxylic acids on the resist molecule appear to react in solution at room temperature with both the vinyl ether groups on adjacent molecules and with any added base quencher. These reactions cause reduced effectiveness of the base quencher and produce a noticeable resist shelf life problem. Despite these limitations, the material was used to compare the effect of development in aqueous base versus organic solvent. The resist formulated in this work showed a DUV sensitivity of 7 mJ/cm2 and a contrast of 5.2 for development in either solvent or aqueous base. Under 100 keV e-beam imaging, the material showed 40 nm resolution for both development types. In standard 0.26 N TMAH, the dose-to-size was 84 μC/cm2 with 3σ LER of 14.2 nm. Using methyl isobutyl ketone for organic solvent development, the dose-to-size was 104 μC/cm2 with 3σ LER of 7.4 nm.
Poly(styrene)-b-poly(acrylic acid) copolymers (PS-b-PAA) was shown to be one promising material for achieving
substantially smaller pitch patterns than PS-b-PMMA while still retaining high etch contrast and application for chemoepitaxy.
Phase separation of acetone vapor annealed PS-b-PAA (Mw=16,000 g/mol with 50:50 volume ratio of PS: PAA)
on PS brush achieved a lamellar morphology with a pattern pitch size (L0) of 30 nm. However the thermal annealing of
the same PS-b-PAA generated a dramatically larger pitch size of 43 nm. SEM and GPC analysis revealed that the
intermolecular crosslinking during thermal annealing process has increased the effective N (degree of polymerization),
which suggests that even a small amount of crosslinking would lead to big pitch change. Thus, PS-b-PAA is not suitable for fast thermal annealing process as it loses pitch size control due to PAA crosslinking.
As an alternative lithography technique, directed self-assembly (DSA) of block copolymers has shown to be promising
for next generation high resolution patterning. PS-b-PMMA has been widely studied for its use as a block copolymer in directed self-assembly and has demonstrated patterned features down to size scales on the order of 20 nm pitch.
However, due to the modest χ value for PS-b-PMMA (χ=0.038), this 20 nm feature pitch representes roughly the limiting capability of PS-b-PMMA. To achieve smaller pitch features, new block copolymers with higher χ values must be developed for use in DSA lithography. Here, poly(styrene)-b-poly(hydroxyehtylmethacrylate) or PS-b-PHEMS is
introduced as one possible such high χ polymer. PS-b-PHEMA with controlled Mw and PDI was successfully
synthesized via ATRP and fully characterized by NMR, GPC and FTIR. As a first demonstration of sub-20 nm pitch
capability in PS-b-PHEMA, a 15 nm pitch size lamella structure in PS-b-PHEMA is shown. PS-b-PHEMA has good
thermal stability, allowing it to be rapidly annealed thermally. PS-b-PHEMA also is shown to have improved etch
contrast between the two blocks as compared to PS-b-PMMA. The χ value for PS-b-PHEMA is estimated to be 0.37
based on experimental pitch scaling studies, which is almost 10 times of the χ value for PS-b-PMMA.
Directed self-assembly (DSA) of block copolymers (BCP) could enable high resolution patterning beyond the
capabilities of current optical lithography methods via pitch multiplication from lower resolution primary lithographic
patterns. For example, DSA could enable dense feature production with pitches less than 80 nm from patterns generated
using 193 nm exposure tools without the need for double patterning or other schemes. According to theory, microphase
separation of diblock copolymers occurs when the critical condition that χN>10.5 is met while the pitch of the resulting
polymer features scale as ~N2/3, where χ is the Flory Huggins interaction parameter and N is the total degree of
polymerization for the diblock copolymer. In order to generate patterns with smaller pitches, N must be decreased while
maintaining a χN>10.5 to allow for phase separation. This requires utilization of polymers with higher χ values as N is decreased. Current materials, such as PS-b-PMMA, exhibit a relatively low χ value of ~0.04, which limits the practical pitch of DSA line-space patterns produced using PS-b-PMMA to approximately 20 nm. In this paper, we investigate alternative materials, namely poly(styrene)-b-poly(hydroxystyrene) (PS-b-PHOST), which exhibits a high χ value via hydrogen bonding interactions that can allow for production of sub-20nm pitch DSA patterns. In order to utilize any diblock copolymer for DSA, a neutral underlayer and a method for annealing the block copolymer are required. Here, a random copolymer, poly(styrene-co-hydroxystyrene-co-glycidyl methacrylate), is developed and reported for use as a neutral underlayer for PS-b-PHOST. Furthermore, a solvent annealing method for PS-b-PHOST is developed and
optimized using ethyl acetate to allow for uniform microphase separation of PS-b-PHOST.
Directed self assembly (DSA) of block copolymers (BCP) could enable high resolution secondary patterning via pitch
multiplication from lower resolution primary lithographic patterns. For example, DSA could enable dense feature production at
pitches less than 20 nm from patterns generated using 193 nm exposure tools. According to theory, microphase separation of
block copolymers can only occur when the critical condition that χN>10.5 is met, where χ is the Flory Huggins interaction parameter and N is the total degree of polymerization for the block copolymer. In order to generate smaller DSA pattern pitches, the degree of polymerization of the block copolymer is reduced since this reduces the characteristic length scale for the polymer (e.g. radius of gyration). Thus, as N is reduced, the effect of this reduction on χN must be balanced by increasing χ to maintain a given level of phase separation. Currently, most DSA work has focused on the use of poly(styrene)-b-poly(methyl methacrylate) (PS-b-PMMA) copolymers whose low χ value (i.e. ~0.04) limits the practical DSA pitch using such materials to approximately 20nm. The general goal of this work has been to explore new higher χ block copolymer systems, develop DSA patterning schemes based on such materials, and test their ultimate pitch resolution. This paper discusses the synthesis and characterization of poly(styrene)-b-poly(hydroxystyrene) (PS-b-PHOST) copolymers made via nitroxide mediated radical polymerization. The formation of lamellar fingerprint structures in PS-b-PHOST using solvent annealing is demonstrated. Using this fingerprint data, initial estimates of χ for PS-b-PHOST are made which show that it appears to be at least one order of magnitude larger than the χ for PS-b-PMMA . Finally, graphoepitaxy of self-assembled lamellar structures in PS-b-PHOST is demonstrated using SU-8 guiding patterns on cross-linked neutral underlayers.
Directed self-assembly (DSA) of block copolymers is a promising technology for extending the patterning capability of
current lithographic exposure tools. For example, production of sub-40 nm pitch features using 193nm exposure
technologies is conceivably possible using DSA methods without relying on time consuming, challenging, and
expensive multiple patterning schemes. Significant recent work has focused on demonstration of the ability to produce
large areas of regular grating structures with low numbers of defects using self-assembly of poly(styrene)-b-poly(methyl
methacrylate) copolymers (PS-b-PMMA). While these recent results are promising and have shown the ability to print
pitches approaching 20 nm using DSA, the ability to advance to even smaller pitches will be dependent upon the ability
to develop new block copolymers with higher χ values and the associated alignment and block removal processes
required to achieve successful DSA with these new materials. This paper reports on work focused on identifying higher
χ block copolymers and their associated DSA processes for sub-20 nm pitch patterning. In this work, DSA using
polystyrene-b-polyacid materials has been explored. Specifically, it is shown that poly(styrene)-b-poly(acrylic acid)
copolymers (PS-b-PAA) is one promising material for achieving substantially smaller pitch patterns than those possible
with PS-b-PMMA while still utilizing simple hydrocarbon polymers. In fact, it is anticipated that much of the learning
that has been done with the PS-b-PMMA system, such as development of highly selective plasma etch block removal
procedures, can be directly leveraged or transferred to the PS-b-PAA system. Acetone vapor annealing of PS-b-PAA
(Mw=16,000 g/mol with 50:50 mole ratio of PS:PAA) and its self-assembly into a lamellar morphology is demonstrated
to generate a pattern pitch size (L0) of 21 nm. The χ value for PS-b-PAA was estimated from fingerprint pattern pitch
data to be approximately 0.18 which is roughly 4.5 times greater than the χ for PS-b-PMMA (χPS-b-PMMA ~ 0.04).
As the semiconductor industry continues to push to smaller critical dimensions, pattern collapse during lithographic
processing caused by unbalanced capillary forces during the final rinse and drying process has become an important
problem that can limit the practical resolution of a resist material to feature sizes larger than its intrinsic resolution limit.
One of the primary modes of pattern collapse is via elastoplastic pattern deformation which is strongly related to the
mechanical properties of the resist. One approach to mitigating such collapse problems is to enhance the mechanical
properties of the resist features. Since such modification of resist physical properties for pattern collapse purposes is
difficult to achieve through modified formulation of the resist itself (i.e. due to the complex set of requirements that a
resist must satisfy and the complex set of physical and chemical phenomena that underlie the imaging processing itself),
we have pursued an alternative strategy for improving the resist mechanical properties after features are developed in the
film but before they are rinsed and dried. The family of techniques being developed in this work function through the
use of aqueous compatible reactive rinse solutions that can be applied to developed resist features while they are wet
during normal rinse processing on a track system. By applying these techniques during the rinse process, the resist
features can be strengthened before they are subjected to significant capillary forces during the final drying step. In this
work, the use of diamine compounds to reactively crosslink the surface of resists containing carboxylic acid groups
through formation of amide bonds using carbodiimide chemistry has been explored. One advantage of this approach is
that it is an aqueous process that should be easily compatible with high volume, track-based lithographic processes.
Contact angle studies and x-ray photoelectron spectroscopy (XPS) were used to characterize the surface crosslinking
reaction using such diamine surface rinse treatments. Pattern collapse test structures were fabricated and analyzed to
measure the amount of mechanical property improvement imparted by such treatments. Application of such amine
reactive rinses was found to clearly result in an improvement in the resistance of resists to pattern collapse as observed
by SEM. A comparison of the critical stress at the point of pattern collapse as a function of resist feature size also
clearly shows a significant improvement in mechanical resilience of resist samples processed with the reactive rinse
treatment.
One of the problems for lithographic processes at very small feature scales is pattern collapse caused by unbalanced
capillary forces experienced by the photoresist patterns during the final deionized water rinse and drying process. The
use of surfactants or super critical fluids to reduce collapse problems has been proposed and studied by many research
groups. However, the patterns rinsed with low surface tension fluids appear in many cases to shrink or such treatments
cause other feature deformations. Super critical fluid processing requires major changes to the ways in which current
track systems operate and can result in swelling and deformation of the resist features as well. Instead of utilizing super
critical fluids or adding surfactants to the rinse liquid, one general methodology we have pursued for alleviating such
pattern collapse problems involves the actual strengthening of the resist feature itself during wet processing in the
development and rinse cycle. One method we have investigated extensively is the use of post-development resist surface
crosslinking reactions while the resist structures are still in their wet state, a process we term "reactive rinsing". Such
reactive rinse processes have shown significant impact on improving resist pattern collapse. However, previous
chemistries used for such reactive rinse processes have either: (1) been complex mixtures that potentially have long term
stability problems (i.e. thus making their application in a fab environment more difficult) or (2) been specific to a certain
resist types in that the chemistries react with only certain resist functional groups that may not be present in all resists of
interest (e.g. some chemistries only work with phenolic resins such as those found in DUV or EUV resists). Therefore,
the goal of this work has been to investigate other novel reactive rinse chemistries that are both more robust and which
can function with different families of resist materials. Poly-functional aziridines offer one potential such family of
compounds that could be the basis for a reactive rinse chemistry. Such aziridines are often used industrially in both
aqueous and nonaqueous coatings, inks and adhesives to promote both physical and chemical properties and are known
to react with carboxylic acid functional groups such as those commonly found in many 193 nm resists. The goal of this
specific study was to determine if poly-functional aziridine chemistry could be used as a reactive rinse for preventing
photoresist pattern collapse in 193nm type resists. Contact angle studies and x-ray photoelectron spectroscopy (XPS)
were used to characterize the surface crosslinking reaction using aziridine treatments of model resists containing
carboxylic acid functionality. Pattern collapse test structures were fabricated and analyzed using a PMMA/PMAA copolymer
resist commonly employed for e-beam lithography. SEM studies of the resulting patterns confirm that use of
multifunctional aziridine crosslinkers during a post-development process can significantly reduce pattern collapse and
enable production of higher resolution features.
Directed self-assembly (DSA) of block copolymers has gained significant attention in recent years as a possible
alternative for large area fabrication of future sub-30 nm lithographic patterns. To achieve this patterning, at least three
critical pieces are needed: (1) a block copolymer with sufficient immiscibility of the two blocks to drive phase separation
at the low molecular weights required to achieve such small phase domains, (2) a method for selectively removing one
of the blocks after phase separation to achieve formation of a relief pattern, and (3) a method for producing the templated
surfaces used to guide and register the phase separated patterns on the substrate of interest. Current methods for
achieving the patterned substrate template, whether they are of chemoepitaxial or graphoepitaxial nature, are generally
complex involving a large number of steps that are not easily applied to a variety of different substrate surfaces. For
example, numerous substrates have been studied to provide neutral wettability to the styrene-methacrylate (PS-b-
PMMA) block copolymers, such as random styrene-methacrylate copolymer films (PS-r-PMMA) or self-assembled
monolayer (SAM) modified surfaces, which induce perpendicularly oriented morphologies for PS-b-PMMA self-assembly.
In the case of chemical epitaxy processes, a layer of photoresist is generally then coated on such neutral
substrate films and patterned to render commensurability to the periodicity of the PS-b-PMMA being used. The open
(i.e. space) regions in the resist are then exposed to alter their chemistry, e.g. soft X-ray or oxygen plasma exposures
have been used, to achieve hydrophilicity which should preferentially wet PMMA. Finally, the resist is stripped and the
block copolymer is coated and assembled on the template surface. Obviously such multi-step processes would not be
preferred if alternatives existed. As a step toward that goal of making DSA processes simpler, a photodefinable substrate
film that can be used for PS-b-PMMA self-assembly has been developed in this work that is coated, exposed, and baked
in a manner analogous to current optical photoresists. The polymer resin for this material is a form of protected
poly(hydroxystyrene) that is cross-linkable. The new material is suitable for DSA processes using both solvent and
thermal annealing methods. Results of PS-b-PMMA DSA on this new material will be discussed.
As the semiconductor industry continues to push the limits of the lithography processes used to fabricate integrated
circuits, pattern collapse during development and drying can have a substantial impact on process viability. This pattern
collapse in general is caused by unbalanced capillary forces present during the drying step of the lithographic process.
Significant research has focused on developing methods to reduce such capillary forces and improve the pattern collapse
of photoresists. However, it appears that relatively little attention has been paid to other process dependent factors
which may also significantly impact such collapse behavior. For example, another potential factor which may affect
pattern collapse is the length of time during which the resist features are actually stressed during drying. As such,
processes which result in different drying rates may be expected to yield different levels of pattern collapse. In this
work, e-beam lithography was used to generate high resolution line-space pair arrays which contain different size spaces
between a pair of adjacent lines in a model chemically amplified resist. Such line pairs present an excellent tool for
studying pattern collapse and quantifying the level of stress required to cause collapse and failure of resist features.
After development and rinse, such pattern collapse test structures were dried using a variety of different processes
possessing a variety of different drying rates, and the impact of these different drying methods on pattern collapse was
quantified. It was indeed found that drying rate has a dramatic impact on pattern collapse, with spin drying techniques
performing better than most other techniques. However, it was discovered that such spin drying methods also yield a
significant pattern orientation dependence of the degree of pattern collapse. Such behavior is explained in terms of
additional mechanical forces caused by the centrifugal forces exerted during spin drying methods.
As integrated circuit fabrication continues to advance towards the 22 nm node and below, it has become clear that
although line edge roughness and resolution are important, other issues such as pattern collapse must be addressed in
order for technology to continue to progress. One of the primary modes of pattern collapse at small feature sizes is
adhesion failure caused by loss of adhesion of the resist to the substrate during the drying process. The main forces
which govern pattern collapse by adhesion failure are related to substrate/resist interactions. Significant research has
been conducted to find methods for reducing capillary forces, such as use of surfactants in rinses, to reduce pattern
collapse. However, the use of spin drying has also been observed to exhibit other collapse related effects that are not
sensitive to such treatments. To this end, in this work a reactive adhesion promoter capable of covalently attaching to
hydroxystyrene-based positive tone resist copolymers has been developed and demonstrated. A vinyl-ether-modified
silane was prepared and effectively applied using a solution silanization reaction. A model hydroxystyrene-based
positive tone resist was applied and subjected to post apply bake to cause reaction of the surface modifier with the
photoresist to occur prior to patterning using e-beam lithography. Contact angle studies and ellipsometry were used to
characterize the surface silanization reaction. Pattern collapse test structures were fabricated and analyzed after
development and drying on the different surfaces to quantify the impact of the use of the covalent surface linker and
compare it to more standard adhesion promoter processes such as those utilizing hexamethyldilazane (HMDS). The
effect of soft bake condition on the performance of the reactive adhesion promoter has also been studied. Ultimately, the
results of critical stress analysis and SEM studies of the resulting patterns confirm that use of surface priming agents that
covalently attach the resist to the substrate can significantly enhance resist-substrate adhesion and dramatically reduce
pattern collapse.
It has become clear that although line edge roughness and resolution are important for future lithographic technology
nodes, other issues such as pattern collapse must be addressed as well. One of the primary modes of pattern collapse is
pattern collapse caused by loss of adhesion of the resist from the substrate. The main forces which govern pattern
collapse by adhesion failure are related to substrate/resist interactions. Though several methodologies to improve pattern
collapse have been investigated, such as the use of surfactants during the final rinse, the use of such methods virtually all
suffer from some serious drawback. To this end, we have developed a reactive surface modifier capable of covalently
attaching to a positive tone resists containing hydroxystyrene groups. A vinyl-ether-modified silane was prepared and
effectively applied using a solution silanization reaction. A hydroxystyrene-based positive tone copolymer resist was
applied and subjected to a post apply bake to allow sufficient time for reaction with the surface modifier to occur prior to
patterning using e-beam lithography. Ultimately, it was determined that covalent attachment of the surface modifier to
the photoresist during the post apply bake resulted in enhanced resist/substrate adhesion of photoresist lines as evidenced
by improved pattern collapse performance in high resolution imaging experiments.
Two different types of non-traditional molecular resists were synthesized and characterized. A positive-tone cross-linked
molecular resist was made that functions by first forming an etch resistant film via thermal cross-linking of vinyl ether
functionalized small molecules followed by patterning of the film via acid catalyzed cleavage of the resulting acetal
bonds. DPA-2VE, a single multi-functional molecular resist of this type, showed DUV sensitivity of 7 mJ/cm2 and a
contrast of 5.2 for development in either organic solvent or aqueous base. Using high resolution patterning with a 100
keV e-beam, it was possible to demonstrate feature resolutions down to 40 nm. When 0.26N TMAH was used as a
developer, the dose-to-size was 84 μC/cm2 with a 3σ LER of 14.2 nm. Using MIBK as a developer, the dose-to-size was 104 μC/cm2 and the 3σ LER was 7.4 nm. A series of non-chemically amplified molecular resists based on using 2-
nitrobenzyl ethers as photosensitive protecting groups were also made. One formulation showed a DUV sensitivity of 1 mJ/cm2, while another formulation which showed the best contrast of 8.3 obtained at a sensitivity of 10 mJ/cm2.
However, under 100 keV e-beam patterning, the 2-nitrobenzyl ether protected materials showed little to no response even up to 3000 μC/cm2.
As integrated circuit fabrication continues to advance towards the 32 nm node and below, it has become increasingly
apparent that the use of ultrathin films and polymer features will be required. Though it has been widely accepted that
the properties of polymers on the nanoscale can differ significantly from their bulk counterparts, the extent of such
deviation is the subject of much debate and concern. Furthermore, most studies have focused on elucidating the
differences in the thermal properties of micro- and nano-scale polymer films as determining the mechanical properties of
ultrathin films can be somewhat cumbersome. In order to study the modulus of polymer thin films we have implemented
a thin film buckling technique wherein a polymer film is floated onto a pre-strained PDMS substrate. Release of the
strain, results in the buckling of the polymer film and provides the opportunity to accurately determine the modulus of
polymer thin films with thicknesses down to 20 nm. This thin film buckling strategy was also used to probe the effect of
thickness on the modulus of the ESCAP-1 thin films. Finally, a reactive rinse method was employed whereby the hydroxyl functional groups of the resist were cross-linked via a dicarboxylic acid using carbodiimide chemistry as a potential method to ultimately enhance lithographic patterning performance. The effect of the reactive rinse on the modulus of the ESCAP-1 thin films was analyzed and it was found that the application of the reactive rinse resulted in a clear increase in the modulus of the polymer films. Also, quartz crystal microbalance (QCM) supporting the confinement of the crosslinking agents to the surface will be discussed.
Pattern collapse is becoming a critical issue as integrated circuit fabrication continues to advance towards the 32 nm
node and below. Though line edge roughness and resolution are certainly important in moving forward, pattern collapse
by both deformation and adhesion failure must be addressed. In this work, a post-development strategy to reduce pattern
collapse by bending was developed whereby the hydroxyl functional groups on the surface of the resist were crosslinked
via a dicarboxylic acid using carbodiimide chemistry. The pattern collapse of a hydroxystyrene-based, positive tone
resist was then studied before and after the application of the reactive rinse. SEM analysis of the samples showed that
application of the reactive rinse resulted in a clear increase in the printing capabilities of the resist, as the photoresist
lines could be printed with smaller space widths corresponding to higher stresses after the rinse treatment.
KEYWORDS: Molecules, Electron beam lithography, Deep ultraviolet, Line edge roughness, Polymers, Polymerization, Glasses, Dewetting, Optical lithography, Scanning electron microscopy
A series of negative tone molecular resists was investigated for use in both organic solvent and aqueous base
development. Molecular resists designed purely for solvent development showed half-pitch resolution down to 25 nm
with sensitivities of 50 μC/cm2 and LER (3σ) down to 2.3 nm. Aqueous developable designs that used epoxide
functionalized molecules that are intrinsically water soluble showed improved contrast and comparable sensitivity, but
suffered from significant dewetting during baking due to their low molecular weight and high polarity. This inability to
form high quality films prevented their use as high resolution resists. Aqueous developable designs that used molecules
with both cross-linking and base solubilizing groups were also investigated; the initial example of this design is DPA-
2Ep, a molecular resist containing two epoxides and one carboxylic acid per molecule. It formed high quality films and
showed improved contrast compared to the purely solvent developed designs. Even after complete cross-linking of the
epoxide groups, several free carboxylic acids still remained in the network. These free acids tend to imbibe developer and appear to retain the tetramethylammonium carboxylates even after rinsing and drying the film. This imbibing of developer leads to significant failure during high resolution patterning due to swelling.
KEYWORDS: Line edge roughness, Glasses, Diffusion, Electron beam lithography, Line width roughness, Molecules, Polymers, Photoresist materials, Silicon, Silicon films
A series of single-component molecular resists was designed, synthesized, characterized, and patterned using 100-keV e-beam lithography. An onium salt photoacid generator-based single-component resist system (referred to here as TAS) that creates a free photoacid upon exposure is shown to produce a low line edge roughness (LER) of 3.9 nm (3) but was limited in resolution due to photoacid diffusion. A different single-component molecular resist (referred to here as NBB) with a covalently bound nonionic photoacid generator, i.e., one in which the photoacid anion is bound to the resist core, was also synthesized. NBB was found to exhibit an improved resolution of 40 nm due to reduced photoacid diffusion while maintaining a good LER and line width roughness (LWR) of 3.9 nm and 5.6 nm, respectively. Despite the small size of NBB, it was found to exhibit a glass transition temperature of 82 °C. It also showed good adhesion, formed high-quality films, and showed no dark erosion during development. These compounds demonstrate that it is possible to form single-component molecular resists using both ionic and nonionic photoacid generators and that such small molecule resists can provide all the basic requirements to serve as functional chemically amplified resists.
A series of nonionic photoacid generators (PAGs) are synthesized and their acid generation efficiency measured under deep ultraviolet (DUV) and electron beam exposures. The acid generation efficiency is determined with an on-wafer method that uses spectroscopic ellipsometry to measure the absorbance of an acid sensitive dye (Coumarin 6). Under DUV exposures, common ionic onium salt PAGs show excellent photoacid generation efficiency, superior to most nonionic PAGs tested in this work. In contrast, when under 100-keV high energy e-beam exposures, almost all of the nonionic PAGs show significantly better acid generation performance than the ionic onium salt PAGs tested. In particular, one nonionic PAG shows almost an order of magnitude improvement in the Dill C acid generation rate constant compared to a triarylsulfonium PAG. The high energy acid generation efficiency is found to correlate well with the electron affinity of the PAGs, suggesting that improvements in PAG design can be predicted. Nonionic PAGs merit further investigation as a means for producing higher sensitivity resists under high energy exposure sources.
Chemically amplified resists have served as high resolution and high photospeed patterning materials in the fabrication of modern microelectronic devices for more than two decades. A
significant amount of research during that time, and in particular more recently, has focused on minimizing line width roughness and on improving the achievable resolution and sensitivity of resist
materials. While these lithographic parameters are certainly important, the distortion of the resist pattern during wet processing and subsequent drying can have significant negative impacts on performance and is often relatively overlooked as a major resist resolution and performance limiter. Resist pattern distortion after development and during drying is mainly due to the unbalanced
capillary forces created due to pattern asymmetries which give rise to variations in liquid meniscus radii of curvature as the final rinse liquid is dried from the pattern. These capillary forces are
dependent upon the surface tension of the final rinsing solvent, the contact angle of the rinse liquid with the side wall of the resist line, and the pattern space widths and sidewall angles. The demand
for resist films with smaller feature sizes has led to a reduction in resist pattern dimensions resulting in overall poor mechanical strength and a decrease in the adhesion forces at the resist line/substrate interface. In this work, the pattern collapse behavior of a hydroxystyrene-based resist copolymer is studied. Ultra-thin film effects and the role of the feature width of the resist line on pattern collapse are also investigated.
A series of single component molecular resists were designed, synthesized, characterized, and patterned using 100 keV
e-beam lithography. An onium salt PAG based single component system (referred to here as TAS) which creates a free
photoacid upon exposure is shown to produce a low line edge roughness (LER) of 3.9 nm (3σ), but was limited in
resolution due to photoacid diffusion. A single component molecular resist with a covalently bound non-ionic photoacid
generator (referred to here as NBB), i.e. one in which the photoacid anion is bound to the resist core, was found to
exhibit an improved resolution of 40 nm due to reduced photoacid diffusion while maintaining a good LER and line
width roughness (LWR) of 3.9 nm and 5.6 nm, respectively. Despite the small size of NBB, it was found to exhibit a
glass transition temperature of 82°C. It also showed good adhesion, formed high quality films, and showed no dark
erosion during development. These compounds demonstrate that it is possible to form single component molecular
resists using both ionic and non-ionic photoacid generators and that such small molecule resists can provide all the basic
requirements to serve as functional chemically amplified resists.
A series of non-ionic PAGs were synthesized and their acid generation efficiency measured under deep ultraviolet and
electron beam exposures. The acid generation efficiency was determined with an on-wafer method that uses
spectroscopic ellipsometry to measure the absorbance of an acid sensitive dye (Coumarin 6). Under DUV exposures,
common ionic onium salt PAGs showed excellent photoacid generation efficiency, superior to most non-ionic PAGS
tested in this work. In contrast, under 100 keV high energy e-beam exposures, almost all of the non-ionic PAGs showed
significantly better acid generation performance than the ionic onium salt PAGs tested. In particular, one non-ionic PAG
showed almost an order of magnitude improvement in the Dill C acid generation rate constant as compared to a
triarylsulfonium PAG. The high energy acid generation efficiency was found to correlate well with the electron affinity
of the PAGs, suggesting that improvements in PAG design can be predicted. Non-ionic PAGs merit further
investigation as a means for producing higher sensitivity resists under high energy exposure sources.
KEYWORDS: Line edge roughness, Polymerization, Electron beam lithography, Glasses, Image resolution, Diffusion, Line width roughness, Molecules, Epoxies, Scanning electron microscopy
There is increasing demand for higher performance resists with superior resolution, sensitivity, and line edge roughness
for both electron beam and extreme ultraviolet lithography applications. A new class of negative tone chemically
amplified molecular resists has been developed based on epoxide cross-linking that combines high sensitivity with low
line edge roughness and excellent resolution. Three different resists from this class have been made that all show
superior performance compared to SU-8 in high resolution dense patterns. The functionality and size of the resist
molecules were systematically changed to investigate these effects on imaging performance under e-beam lithography.
The di-functional epoxy resist, 2-Ep, had < 25 nm half-pitch resolution in dense 1:1 line-space patterns, sensitivity of 38
μC/cm2, and low 3σ LER of 2.9 nm for 30 nm half-pitch. The tri-functional epoxy resist, 3-Ep, showed 30 nm resolution
in dense features, 3σ LER of 2.3 nm, and a sensitivity of 20 μC/cm2. The tetra-functional epoxy resist, 4-Ep, likewise showed good resolution of 35 nm half-pitch in dense features, sensitivity of 22 μC/cm2, and a low 3σ LER of 2.3 nm. This class of negative tone resist compounds are able to obtain an excellent combination of resolution, LER, and
sensitivity, and show promise as high performance resists for next generation lithography.
An ionic and non-ionic single molecule chemically amplified resist have been synthesized, characterized, and imaged under high resolution e-beam. The ionic single molecule resist is based on a tBoc protected triarylsulfonium (TAS) core that generates a free superacid upon exposure. TAS demonstrates a low LER (3&sgr;) of 3.9 nm under 100 keV e-beam exposures with a resolution of 55 nm. Under EUV exposures, it exhibits a LER of 5.2 nm with a sensitivity of 60 mJ/cm2 and 50 nm half-pitch resolution. The non-ionic single molecule resist is based on a sulfonic acid containing molecular glass core that uses a norbornene dicarboximide PAG. It images with a low LER of 3.9 nm and a resolution of 40 nm. The non-ionic resist shows multiple improvements over the ionic system including improved resolution, reduced dark loss, and improved solubility. Both resists successfully demonstrate the feasibility of using a single component molecular resist system with high PAG loading. They also show that single molecule resists provide improved LER compared to conventional PAG blended systems, even for unoptimized systems.
A water developable, non-chemically amplified, negative tone single molecule resist (tris(4-hydroxy-3,5-dimethylphenyl)sulfonium tosylate, otherwise referred to as TAS-tBoc-Ts) was synthesized, characterized, and imaged using high resolution electron beam lithography. The solubility switching in the negative tone imaging mode in this material, which occurs for no or low temperature post-exposure bakes, occurs through photolytic conversion of some fraction of the ionic PAG molecules into non-ionic photoproducts which act as strong dissolution inhibitors to the remaining unreacted molecules in the film. It was also shown that this resist system can dmonstrate positive tone chemically amplified imaging behavior under different processing conditions, i.e. when using higher temperature post-exposure bakes above 100 °C . Pure water was found to be the preferential developer as compared to conventional aqueous alkaline TMAH developers in this particular system for negative tone imaging. Under 100 keV e-beam imaging and water development, a sensitivity of 66 &mgr;C/cm2 and contrast of 7.9 was obtained. TAS-tBoc-Ts obtained 40 nm 1:2 line-space resolutions with a low LER of 5.3 nm using electron beam imaging with no apparent swelling or residue problems. This new material and its negative tone imaging process provide a possible path forward for designing non-chemically amplified resists that may obtain higher resolutions than current chemically amplified resists at competitive sensitivities.
A mesoscale model of molecular resists has been created and implemented that allows for the investigation of the effect of material composition and physiochemical properties, such as PAG loading and photoacid diffusion coefficient, on the lithographic performance (i.e. resolution, line edge roughness, and sensitivity or as commonly referred to "RLS") of molecular glass photoresists. This model is shown to produce results that are in good agreement with many of the conventional LER scaling arguments. In cases where critical dimension is not held constant, it was found that higher photoacid diffusion improves LER at low acid concentrations, but induces higher LER at high acid concentration as compared to smaller diffusion coefficients. Increased PAG loadings were found to provide comparatively lower LER at the same resolution and sensitivity as lower PAG loadings, or alternatively to provide better sensitivity at the same resolution and LER as lower PAG loadings. Even at ultra-high PAG loadings, CARs were found to exhibit RLS limitations. By normalizing all PAG loadings by the total amount of acid produced, it is shown that LER is controlled primarily by photoacid concentration in the resist at the imaging dose for the case where constant critical dimension is maintained with no use of base quencher in the resist. Thus, the most direct and functional scaling argument for LER under such cases is, which is similar to the more common scaling arguments that state, but as this work shows it is more universal to state that which automatically normalizes for different PAG loadings and photoreaction rate constants across different resist formulations.
A single molecule photoresist composed of tris(4-(tert-butoxycarbonyloxy)-3,5-dimethylphenyl) sulfonium
hexafluoroantimonate (TAS-tBoc) was successfully synthesized and characterized. The synthesized triarylsulfonium was
found to perform comparably to a commercial triphenylsulfonium triflate photoacid generator (PAG) when used purely
as a PAG in blended molecular glass resist. TAS-tBoc formed excellent amorphous films when spin-coated out of
solution. When exposed to 248 nm UV radiation, TAS-tBoc showed a sensitivity of 4 mJ/cm2 and a contrast ratio
between 6 and 15, depending on development conditions. Its etch rate under standard silicon dioxide etch conditions was
0.87 as standardized to that of tBoc-PHOST in the same RIE plasma conditions. The outgassing level of the resist under
EUV exposure was determined to be 1.08 x 1013 molecules/cm2, well below the maximum outgassing cutoff that is
considered acceptable for EUV imaging. When imaged by e-beam, TAS-tBoc showed a relatively high dose-to-clear of
150 &mgr;C/cm2 as compared to conventional chemically amplified photoresists. Lines down to 50 nm wide with aspect
ratios of 2.5:1 were imaged using e-beam. These lines exhibited an LER of only 3.96 nm, significantly better than the
typical LER for polymeric chemically amplified resist, even when imaged using e-beam, and also one of the lowest
values reported for molecular glass materials in general.
As exposure wavelengths continue to decrease, the use of conventional single layer resist (SLR) materials is becoming increasingly more difficult. Since most organic materials absorb at wavelengths below 200 nm, it becomes problematic to create polymers with sufficient transparency to serve as SLR resins. This paper is focused on a novel approach to top surface imaging, termed surface monolayer initiated polymerization (SMIP), in which a reactive monolayer is exposed and patterned. This pattern is subsequently amplified by surface polymerization to produce patterned polymeric features that can serve as an etch barrier. In particular, the reactive monolayer is exposed to patterned radiation (UV, x-ray, e-beam, etc.) that deactivates the monolayer in the exposed areas. The substrate is then heated or exposed to radiation in the presence of a monomer, resulting in activation of the monolayer in the unexposed areas and polymerization of patterned polymer structures. Thus, in this type of process the imaging properties of the monolayer system and the etch resistance of the polymer film formed are completely decoupled. This paper focuses on recent results intended for characterizing the exposure kinetics of a radical initiator compound, 4,4'-Azobis-(4-cyanopentanoic acid-(3'-chlorodimethylsilyl) allylic ester), used as a SMIP monolayer. X-ray photoelectron spectroscopy (XPS) has been used to measure the kinetics of the DUV (248 nm) exposure reaction in this monolayer. Examples of simple patterning tests using this approach are also presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.