Most well-known litho-simulation packages work with Manhattan unit cells to exploit the advantages of fast Fourier transforms. Simple 1D features with arbitrary orientations may lead to the fact that quite a large 2D area is needed to describe those features. The obvious consequences are that the feature is approximated by a staircase and that the pitches are related to the angle of rotation. The latter leads often to an increase in computation time, especially when the topography of the mask is taken into account. An obvious way of avoiding that is to rotate the features and all pupil functions or lens properties to x- or y-axes. However, for anamorphic systems, where the demagnifications are fixed in absolute space, this is not straightforward and several actions have to be taken. We described how to do this exactly. It will be shown that the aerial images from a full 2D simulation compare well with that of an equivalent 1D version.
The high spatial resolution of EUV lithography makes it highly sensitive to defects caused by particle contamination on the surface of the mask and the wafer. These particles can degrade imaging quality, cause defects, and ultimately lead to yield loss. This paper investigates the effect of common particle types (BN, SiO2, and C) on the printed image under different conditions. It focuses on determining the range of particle sizes relevant for pattern defectivity for a set of typical use cases (dense line/spaces and dense hexagonal contact holes) in 0.33NA and 0.55NA (high-NA) EUV lithography scanners. The ultimate objective is to provide guidelines to prevent unnecessary mask cleaning and facilitate wafer and mask inspection. The study is conducted by modeling the EUV scanners and conducting rigorous electromagnetic field (EMF) simulations using the lithography simulator HyperLith™ by Panoramic Technology.
Curvilinear mask is known to alleviate the mask making restrictions based on shortest distance between two features. In this study we focus on the impact of using curvilinear mask shapes for high NA. We use diffraction-based analysis to explain some of the observations that favors the use of curvilinear shapes as compared to Manhattan shapes. The benefits observed are dose gain, as well as larger defect-based process window.
Background: A unique extreme ultraviolet (EUV-) scanner with a high numerical aperture (NA) of 0.55 was designed to enable printing of resolution lines with 8 nm half-pitch in a single exposure. The introduction of a central obscuration in the optics design reduces the angular load on the multilayer mirrors, enabling a high transmission and throughput. The central obscuration area has been minimized for best imaging, overlay, and transmission.
Aim: The wavefront is only available in the non-obscured area. This raises the question of how to describe such a wavefront.
Approach: We discuss the choice of fringe-Tatian basis functions to represent the wavefront for an obscured pupil. To make this choice, one needs to balance mathematical correctness while maintaining a simple and intuitive description.
Results: We provide a detailed analysis for selecting basis functions that are adequate to describe measured wavefronts on the non-obscured part of the pupil. This statement is supported by imaging simulations. A fast and stable evaluation of the chosen basis functions is presented. An adapted definition of the wavefront root-mean-square deviation for these functions is proposed; it has the advantage of being simple and independent of the number of basis functions used.
Conclusions: Because of the benefits of the proposed representation, the community is encouraged to use the same formalism.
The high NA=0.55 EUV scanner has an obscuration in the pupil. This has led to the choice to expand the aberration wave-front not in Zernikes anymore, but in other, orthogonal, basis-functions instead. The reasons for this choice and the description of the basis-functions will be discussed.
Anamorphic imaging enables NA=0.55 in future EUV systems. At unchanged reticle size, the maximum on-wafer image size is reduced from the today’s full-field to a half-field of 26mm by16.5mm. Though most of the applications use a chip smaller than a half field, some of them still need a larger chip. To realize an on-wafer full-field with an NA=0.55 EUV system, two half-field images need to be stitched: abutting two images from a single reticle or from two different reticles, depending on the application. Using the ASML NA=0.33 NXE system at imec, “at-resolution stitching” on wafer is used to explore experimentally how CD and pattern placement are affected by abutting images of critical patterns located at the reticle edge. Using various test masks, a pattern placement error is measured within a 10μm range (1x) from the Black Border (BB) edge. Ideally it will be avoided by an adequate mask manufacturing process. We also measure a crosstalk between the two abutting images, that is attributed to a flare crosstalk, impacting the CD of critical patterns. Dummy tiles and a flare OPC need to compensate for this effect similarly to the correction inside the image. Finally, at short range, aerial images of the critical patterns at the very edge of abutting images can crosstalk. To avoid a complex OPC and tight specifications on the BB edge, an exclusion band is recommended to keep those aerial images from interacting. With the adequate placement solution at mask BB edge and with a flare compensation solution implemented, an exclusion band of about 1μm at wafer level is sufficient to support a robust stitching scenario for anamorphic High NA imaging. Its impact on various types of applications is discussed.
With the introduction of the NXE:3400B scanner, ASML has brought EUV to High-Volume Manufacturing (HVM). The high EUV power of >200W being realized with this system satisfies the throughput requirements of HVM, but also requires reconsideration of the imaging aspects of spectral purity, both from the details of the EUV emission spectrum and from the DUV emission. This paper will present simulation and experimental results for the spectral purity of high-power EUV systems, and the imaging impact of this, both for the case of with and without a pellicle. Also, possible controls for spectral purity will be discussed, and a novel method will be described to measure imaging impact of varying CE and DUV. It will be shown that CE optimization towards higher source power leads to reduction in relative DUV content, that the small deltas in EUV source spectrum for higher power do not influence imaging. It will also be shown that resulting variations in DUV do not affect imaging performance significantly, provided that a suitable reticle black border is used. In short, spectral purity performance is not a bottleneck for increasing power of EUV systems to well above 250W.
To enable cost-effective shrink at the 3nm node and beyond, and to extend Moore’s law into the next decade, ASML is developing a new high-NA EUV platform. The high-NA system is targeted to feature a numerical aperture (NA) of 0.55 to extend the single exposure resolution limit to 8nm half pitch. The system is being designed to achieve an on-product-overlay (OPO) performance well below 2nm, a high image contrast to drive down local CD errors and to obtain global CDU at sub-1nm level to be able to meet customer edge placement error (EPE) requirements for the devices of the future. EUV scanners employ reflective Bragg multi-layer mirrors in the mask and in the Projection Optics Box (POB) that is used to project the mask pattern into the photoresist on the silicon wafer. These MoSi multi-layer mirrors are tuned for maximum reflectivity, and thus productivity, at 13.5nm wavelength. The angular range of incident light for which a high reflectivity at the reticle can be obtained is limited to ~ +/- 11o, exceeding the maximum angle occurring in current 0.33NA scanners at 4x demagnification. At 0.55NA the maximum angle at reticle level would extend up to 17o in the critical (scanning) direction and compromise the imaging performance of horizontal features severely. To circumvent this issue a novel anamorphic optics design has been introduced, which has a 4x demagnification in the X- (slit) direction and 8x demagnification in the Y- (scanning) direction as well as a central obscuration in the exit pupil. In this work we will show that the EUV high-NA anamorphic concept can successfully solve the angular reflectivity issues and provide good imaging performance in both directions. Several unique imaging challenges in comparison to the 0.33NA isomorphic baseline are being studied, such as the impact of the central obscuration in the POB and Mask-3D effects at increased NA that seem most pronounced for vertical features. These include M3D induced contrast loss and non-telecentricity. We will explore the solutions needed to mitigate these effects and to offer high quality imaging to be able to meet the required EPE performance in both orientations.
We report a study into intensity-driven mask 3D effects for N7 dark field two-bars in EUVL. For these features, traditional pupil optimization "rules" are advising to center a symmetric leaf shape illumination at the pupil plane location σY = (-0.64, 0.64). Experimentally determined critical dimension Bossungs for this exposure condition however yield an extreme best focus separation due to an additional Bossung tilt appearing at defocus values beyond 20 nm for the bottom trench. The Bossung tilts are caused by a strong coupling between the primary image of the two-bar and its first local pitch-induced self-image. The coupling to the self-image can be suppressed and, hence, the overlapping process window can be enhanced by the application of asymmetric sources, or by using standard dipole 90Y or leaf shape illuminations in combination with optimally placed sub-resolution assist features.
We shed light on the optimization of lithographic metrics for the semi-isolated dark field two-bar logic building block. Under standard D90Y illumination, this building block suffers from large mask three-dimensional-induced relative focus-dependent critical dimension (CD) asymmetries. Such behavior limits its overlapping process window (oPW) and gives rise to untenable full wafer CD uniformity and intrafield pattern shifts. We found that besides a Ta absorber thickness reduction and illumination, pupil optimization is necessary to fully remove these CD asymmetries. The pupil optimization is achieved by relating the aerial image decomposition (here, symmetrization and balancing of intensities across the diffracted orders) with lithographic metrics for each pupil plane location. The resulting pupil allows us (i) to lift the focus-dependent CD asymmetries and (ii) to co-optimize a number of lithographic metrics, such as oPW, contrast, nontelecentricity, and pattern shift. The importance of subsidiary conditions (e.g., symmetry of the pupil, required depth-of-focus) will be discussed.
We will shed light on the optimization of lithographic metrics for the semi-isolated dark field two-bar logic building block. Under standard D90Y illumination this building block suffers from large mask 3D induced relative focus dependent CD asymmetries. Such behavior limits its overlapping process window and gives rise to untenable full wafer CDU and intra-field pattern shifts.
We have found that besides a Ta absorber thickness reduction an illumination pupil optimization is necessary to fully remove these CD asymmetries. The pupil optimization is achieved by relating the aerial image decomposition (here: symmetrization and balancing of intensities across the diffracted orders) with lithographic metrics per pupil plane location. The resulting pupil allows us (i) to lift the focus-dependent CD asymmetries and (ii) to co-optimize a number of lithographic metrics such as overlapping process window, contrast, non-telecentricity and pattern shift. The importance of subsidiary conditions (e.g. symmetry of the pupil, required DOF) will be discussed.
Traditional pupil optimization for horizontal dark field two-bar building blocks yields a dipole matching its local pitch.
This classical “rule” guides the placement for the illumination into a pupil area of high contrast and exposure latitude.
However under these illumination conditions the feature exhibits an extremely large relative CD Bossung separation
limiting its overlapping process window.
Here we show experimentally that contrast-aware source optimization is necessary to lift this relative separation. The
Tachyon-generated pupil applied is a very close replica of a rigorously obtained asymmetric illumination solution. The
latter has emerged out of a study which evidenced that the formation of this rigorous pupil can be traced back to the
interdependency of CD Bossung formation and symmetry of the intensity spectrum across the diffraction orders.
Compared to standard D90Y and leaf shape illumination, the optimized pupil provides the highest simulated contrast,
and experimentally obtained highest depth-of-focus and lowest pattern shift range.
Finally we compare the consequences of intensity balancing versus phase aberration minimization across the diffraction
orders and conclude that the balancing of the intensity spectrum is the main responsible factor for lifting the feature’s CD
Bossung asymmetry and separation.
We will summarize our work on mask topography-induced effects over the last 5 years. We will give a full physical explanation of the effects that can be observed from exposed wafers in state-of-the-art immersion and extreme ultraviolet photolithography. The mask topography-induced phase leads to vertical and lateral displacements of the aerial image, resulting in feature-dependent best focus and position. The feature dependency has been studied for gratings through pitch and size and for two-trench arrangements. The physical explanation involves the analysis and quantification of phase effects in a similar way as was done for projection lens aberrations one decade ago. Phase effects, derived both from rigorous simulations and an analytical model, will be compared with exposure figure or merits (e.g., best focus per feature) and correlate well. Therefore, the analysis of mask topography induced phase and the reduction thereof by absorber thickness optimization can be used to drive lithography improvements.
EUV reticles need to be considered as complex optical elements in the beam path with considerable impact on lithography. Here we present a work flow for absorber optimization by applying a complementary approach of investigating lithographic metrics and mask-topography induced phase aberrations.
In the first part this complementary approach is applied to find an optimum thickness of a typical Ta-based absorber for imaging horizontal spaces through pitch. And although an absorber thickness of around 70 nm is found to be preferable for this particular application, the thickness choice leads to conflicting results for the general printability of 10 nm technology node features. Hence we show that a moderate reduction of the absorber thickness can be allowed when the mask bias of these features is optimized appropriately. The moderate thickness reduction already allows for the mitigation of some of the conflicting imaging aspects.
In the second part we expand the workflow by analyzing phase aberrations in n & k material space. This phase-based optical property screening shows that an alternative absorber based on materials such as Ni with k higher than Ta show superior best focus and contrast metrics. These alternative absorber embodiments would allow the overall reduction of M3D effects and adverse application dependencies of current Ta-based absorbers due to a combination of thickness reduction and enhancement of absorption.
EUV sources emit a broad band DUV Out-of-Band (OOB) light, in particular, in the wavelength range 100-400 nm. This can cause additional exposure of EUV resists made that are based on a ArF/KrF resist platform. This DUV light is partially suppressed while travelling through the optical path but a non-negligible part of it reaches wafer level and impacts imaging.
This is important for imaging at the edges of an image field when fields are printed very close to each other on the wafer (so-called butted fields, with zero field to field spacing). DUV light is reflected from the reticle black border (BB) into a neighboring exposure field on the wafer. This results in a CD change at the edges and in the corners of the fields and therefore has an impact on CD uniformity. Experimental CDU results are shown for 16 nm dense lines (DL) and 20 nm isolated spaces (IS) (N7 logic design features) in the fields exposed at 0 mm and 0.5mm distance on the wafer. Areas close to the edge of the image field are important for customer applications as they often contain qualification and monitoring structures; in addition, limited imaging capabilities in this area may result in loss of usable wafer space.
In order to understand and control OOB DUV light, it must be measured in the scanner. DUV measurements are performed in resist using a special OOB reticle coated with Aluminum (Al) having low EUV reflectance and high DUV reflectance. A model for DUV light impact on the imaging is proposed and verified. For this, DUV reflectance data is collected in the wavelengths range 100-400 nm for Al and BB and the ratio of reflectances of these materials is determined for assumed scanner and resist OOB spectra. Also direct BB OOB test is performed on the wafer and compared to Al OOB results. The sensitivity of 16 nm DL and 20 nm IS to OOB light is experimentally determined by means of double exposure test: a wafer with exposed imaging structures undergoes a second flood exposure from a DUV reflective material (Al or BB).
Finally, several OOB mitigation strategies are discussed, in particular, suppression of DUV light in the scanner (~3x improvement), recent successes of DUV suppression for 16 nm imaging resist (~1.8x improvement) and DUV reflectance mitigation in the reticle black border (~3.8x). An overview of OOB test results for multiple NXE systems will be shown including systems with new NXE:3350 optics with improved OOB suppression.
Aberration sensitive structures, such as two-bar structures, in general suffer from phase effects. The topography of the mask leads to a phase distribution across the diffraction-orders, and hence the image of a two-bar is potentially also distorted due to the topography, leading to full wafer-CDU being larger than required. The phase across the diffraction orders is dependent on the angle of incidence of the imaging light. For ArF it was found before that optimizing the phase distribution leads to a better process-window. It is of interest to investigate the origin and impact of the phase distribution for EUV imaging, since in this case the phase differences depend even stronger on angle. By using analysis from a double-diffraction model and simulations it is shown how illumination setting and stack properties influence the image. Specifically it is shown that two-bar features have better overlapping process window for thinner absorbers whereas spaces through pitch require a thicker absorber.
EUV pellicle membranes are being pursued to protect scanner images from repeating defects caused by reticle fall-on particle defects. Because most materials highly absorb EUV, pellicle membranes must be ultrathin. In an attempt to increase the strength of the ultrathin membranes, grid-supported pellicle membranes have been proposed. In this study we compare grid-supported pellicles (GSP) over free-standing pellicles (FSP). We considered imaging, thermal, mechanical, and thermo-mechanical characteristics. Finite Element Methods (FEM) was used to investigate the thermal, and (thermo-)mechanical behavior of pellicles. The maximum temperature reached under operational conditions by the pellicle film was determined. Using a thermo-mechanical analysis wrinkling behavior was quantified. The mechanical analysis considered the influence of grid structures on the sagging behavior, on crack propagation, on the pellicle film resistance to collision with solid particles, and on the resistance to shocks on the pellicle frame. The analysis shows that GSP that meets imaging requirements will not bring any advantages over FSP.
As EUV approaches high volume manufacturing, reticle defectivity becomes an even more relevant topic for further investigation. Current baseline strategy for EUV defectivity management is to design, build and maintain a clean system without pellicle. In order to secure reticle front side particle adders to an acceptable level for high volume manufacturing, EUV pellicle is being actively investigated. Last year ASML reported on our initial EUV pellicle feasibility. In this paper, we will update on our progress since then. We will also provide an update to pellicle requirements published last year. Further, we present experimental results showing the viability and challenges of potential EUV pellicle materials, including, material properties, imaging capability, scalability and manufacturability.
We present a comprehensive modeling study of polarization effects for the whole optical chain including exposure tool and mask, with strong emphasis on the impact of the Jones Matrix of the projection lens. First we start with the basic of polarization and then the polarization effect of each components of the optical chain will be discussed. Components investigated are source polarization, rigorous EMF effect, mask blank birefringence, pellicle effect and projection lens. We also focus on comparing the relative merits of different types of representation of Jones matrix of the projection lens and outlined ways to decompose the Jones Matrix. Methodologies such as Pauli matrix, PQM, Jones-Zernike expansion and IPS-Zernike expansion are among the ones investigated. The polarization impact on lithography and OPC on realistic 45nm and 32nm node process levels is discussed. Issues in OPC modeling with Jones Matrix is highlighted. Concerns regarding the standardization of the implementation of Jones Matrix in the lithography community are considered and a standard has been proposed and received wide acceptance. Last we discuss the challenge of using polarization and some novel ideas to deal with polarization in hyper NA era. Throughout the paper the resist component is not included so as to isolate the effect of resist from that of the other components.
The perfect top hat illumination source never exists but is widely assumed and used in lithography
simulations for scanner performance investigation, process development and OPC verification. As
line width shrinks below 45nm, the simulation error caused by using this idealized top hat source is
no longer negligible in the hyper-NA immersion lithography. In this paper, we aim to make a
systematic study of the lithography difference between the realistically smoothed and sloped
illumination source (smooth source) and the top hat source. The simulation results consist of two
parts. In the first part, we carried out a numeric investigation of the lithographic sensitivity for the
commonly assumed source imperfections: center-shift, intensity imbalance, geometric ellipticity and
energetic ellipticity. In the second part, we investigated the impact of the slope of smooth sources in
both radial and azimuthal direction. A smooth source model was used to generate the smooth and top
hat sources with such imperfections, and then imported them into simulation software SOLID E for
computations. The CD and pattern shift were calculated through pitch and focus. The simulation
results showed that the lithographic sensitivity to illuminator imperfection is pronounced. An error
up to 5nm CD difference was observed between smooth and top hat sources. This study
demonstrates that the prediction accuracy can be significantly improved by using smooth source in
simulations in hyper-NA immersion lithography.
The introduction of immersion step and scan systems has opened the road for hyper-NA lenses (NA > 1). At these NA's polarization control becomes a key parameter in imaging. Application of polarized illumination leads to an increase of contrast and exposure latitude. The resulting resolution enhancement offered by polarized illumination enables 45nm node lithography with an ArF, NA=1.2 system. Hyper-NA systems utilizing polarized illumination must be fully compatible with all requirements for a volume production tool: maintaining imaging performance at full throughput, overlay and focus control; flexibility and ease-of-use are essential features. Adequate polarization control is realized by employing polarization-preserving optics, and by automated in-line metrology to optimize the system for any selected polarization state. In this paper we address the improvements of polarization for the 65nm and 45nm imaging node applications. Experimental results describing the imaging effects while using polarized illumination on high-NA (NA=0.93) and hyper-NA (NA=1.2) exposure tools will be shown. These data will also be compared to simulations. In addition, this paper includes a short section that deals with the issues of reticle birefringence. Finally, system control and in-line metrology under high-volume production conditions will be discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.