A new application in the semiconductor industry that received quite some traction the past few years is bringing the transistor power delivery network to the backside of the wafer. The big gain of this change is that it frees up real estate on the frontside of the wafer, enabling a further increase of the transistor density. This so-called Back-Side Power Delivery Network (BS-PDN) application is quite challenging since it requires a direct wafer-to-wafer bonding process module. To get access to the transistor from the backside, a device wafer needs to be flipped and bonded to a carrier wafer followed by an annealing step. After these processing steps, the original substrate of the device wafer is removed by grinding and etch steps. This will enable access to the transistors from the backside of the wafer. The wafer processing continues by conventional layer deposition, lithography and etch steps, this time on the flipped wafer. Unfortunately, the bonding process module that includes the actual direct wafer-to-wafer bonding step itself, will also introduce a distortion in the device layer that has been transferred to the carrier wafer. Since the on-product overlay requirement for the first exposed layer on the backside to one of the front-side layers is tight (<10-nm today and <<5-nm in the foreseeable future), a deep understanding of the origin of the distortion fingerprint after bonding is required. In our previous work, we presented a method to isolate the distortion fingerprint due to bonding from the remaining other overlay contributors. The fingerprint we observed after linear corrections had a typical magnitude ranging from 50 to 80-nm. A clear 4-fold symmetry was observed that could be attributed to the crystal orientation of the (100) silicon substrate. We demonstrated that the scanner wafer alignment model is very capable of correcting the global 4-fold wafer distortion fingerprint. Residual levels of less than ~15-nm were shown. These residuals could be further reduced by applying a correction per exposure (CPE) recipe. We showed that performance levels of less than ~6-nm (99.7%) and ~10-nm (max) could be achieved after a 33-parameter per exposure field self-correction. The resulting wafer plots nicely revealed how to improve the overlay performance further. An increased level of residuals was found in the wafer center and at the wafer edge. In the current paper, we build upon our previous work and continue the investigation on the remaining overlay contributors that were identified previously. This time, the focus will be on the local wafer deformations that are visible after the direct wafer-to-wafer bonding step. By local we mean the distortions that manifest themselves over a very short spatial range. These local distortions cannot easily be corrected by the scanner and are typically present close to the wafer center and the wafer edge. We know that the local wafer deformation close to the wafer center is caused by the bonding pin that initiates the bond wave. To characterize the center distortion signature, we varied many experimental parameters to see the impact. We will show the impact of the die layout, the rotation of the top wafer by a 45-degrees, wafer surface properties, and substrate choice of the carrier wafer. The latter is interesting, we evaluated both (100) and (111) carrier wafers. Although the prime focus will be to improve the overlay performance on the center of the wafer, we monitor the impact of the experimental settings on the wafer edge and remaining part of the wafer as well. We present a path forward to mitigate the local distortions such that they will not be blocking for high volume production.
Electron beam (E-beam) direct write (EBDW) lithography is a worldwide reference technology used in laboratories, universities, and pilot line facilities for research and development. Due to its low writing speed, EBDW has never been recognized as an acceptable industrial solution, except for optical mask manufacturing. Nevertheless, its natural high-resolution capability allows for low-cost patterning of advanced or innovative devices prior to their high-volume manufacturing ramp-up. Due to its full versatility with almost all types of chemically amplified resists, EBDW is a perfect complementary solution to optical lithography. We demonstrate the compatibility of EBDW lithography with advanced negative tone development resists and the possibility of setting up a hybrid E-beam/193i lithography process flow with high performance in terms of resolution and mix and match overlay. This high-end lithography alliance offers flexibility and cost advantages for device development research and development, as well as powerful possibilities for specific applications such as circuit encryption, as discussed at the end of our study.
KEYWORDS: Electron beam direct write lithography, Lithography, Semiconducting wafers, Electron beam lithography, Optical alignment, Overlay metrology, Optical lithography, Design and modelling, Photoresist processing, Deep ultraviolet
Electron Beam Direct Write (EBDW or E-Beam) Lithography is a worldwide reference technology used in laboratories, universities and pilot line facilities for Research and Developments. Due to its low writing speed, E-Beam direct write has never been recognized as an acceptable industrial solution, exception made for optical mask manufacturing. Nevertheless, its natural high-resolution capability allows low-cost patterning of advanced or innovative devices ahead of their high-volume manufacturing ramp-up. Thanks to its full versatility with almost all type of chemically amplified resists, EBDW is a perfect complementary solution to optical lithography. This paper demonstrates the compatibility of EBDW lithography with advanced Negative Tone Development (NTD) resist and the possibility to set-up an hybrid E-Beam/193i lithography process flow with high performances in terms of resolution and mix & match overlay. This high-end lithography strategy alliance offers flexibility and cost advantages for device development R&D but also powerful possibilities for specific applications such circuit encryption as discussed at the end of this work-study.
Apart from the ever-continuing lateral scaling in the xy-plane to increase the transistor density, additional new concepts find their way to the semiconductor industry too. These concepts are based on making more use of the third dimension. One relatively simple idea would be to create a second layer of transistors to double the transistor density. However, the material requirements are high and the quality of the layer deposition by conventional Chemical Vapor Deposition (CVD) techniques is insufficient. Another application to free up real estate, enabling a smaller cell size and hence an increased transistor density, is to power-up the transistors from the backside. The power rails for logic devices are historically defined in the first Metal layer and consume quite some space. Bringing the power rails to the backside will free up space. However, access to the transistor layer from the backside of the wafer is far from trivial due to the presence of a 775-μm thick silicon substrate. The answer to the challenges mentioned above is wafer-to-wafer direct bonding. Although this technique is not new and already widely used in the semiconductor industry to manufacture CMOS Image Sensors (CIS), it currently finds its way to the high-end logic markets. In case of layer transfer, a crystalline silicon layer is created by bonding a Silicon-On- Insulator (SOI) wafer to the already existing device wafer. After the bonding step, the substrate of the SOI wafer will be removed leaving the crystalline silicon layer behind. Access to the transistor layer from the wafer backside can be enabled by wafer-to-wafer bonding as well. To this end, a completed device wafer will be bonded to an (un-patterned) carrier wafer. The substrate of the original device wafer will be removed, enabling access from the backside. Wafer-towafer bonding applications can only be enabled in case the induced wafer deformations are low or when they can easily be corrected during the subsequent exposures on the scanner. At CEA-Leti, a dedicated test vehicle process flow has been developed to characterize the wafer bonding-induced distortion fingerprints for both the layer transfer and the backside power delivery network applications. The wafer process flow has been simplified without losing the industry relevant on-product overlay challenges. Wafers have been created to enable an extremely dense characterization of the wafer bonding induced fingerprint. The methodology we applied enables us to isolate the wafer bonding induced distortion fingerprint, something that is difficult to do in a production environment. The Back-Side Power Delivery Network (BS-PDN) application is the most challenging one. The initial raw measured wafer distortion fingerprints are around 60 to 80-nm. These numbers can already be easily brought down by scanner corrections to ~15-nm (mean+3σ) without too much effort. However, these numbers are too large for the 2-nm technology node and beyond, and further improvement is required. The goal of this paper is to present the path forward to bring the bonding induced wafer distortion levels to 10-nm and below. We show the capability of the latest and greatest EVG bonding tool hardware and recipe settings available at the time of running the experiments in combination with the correction capability an ASML 0.33NA scanner.
Mapper has installed its first product, the FLX–1200, at CEA-Leti in Grenoble (France). This is a maskless lithography system, based on massively parallel electron-beam writing with high-speed optical data transport for switching the electron beams. The FLX-1200, containing 65,000 parallel electron beams, has a 1 wph throughput at 300 mm wafers and is capable of patterning any resolution and any different type of structure all the way down to 28 nm node patterns. The system has an optical alignment system enabling mix-and-match with optical 193 nm immersion system using standard NVSM marks. Mapper Lithography and CEA-Leti are collaborating to develop turnkey solution for specific applications.
In figure 1 the basic operation principle of the Mapper technology is shown. The electron optics have no central crossovers making them intrinsically insensitive to Coulomb forces (electron repulsion). The electron optics are modular and much cheaper than high-NA DUV optics, and can be replaced or upgraded in the field. The wafer exposure happens one column of fields at a time and always in the same direction. There is no need to meander. The focus and leveling is performed during stage fly-back to reduce metrology overhead. Each column of fields is aligned separately, with dedicated alignment targets.
Figure 1, Basic operation of the Mapper technology.
In figure 2 the way the beams are distributed over the electron optics slit is shown. The writing strategy is as follows:
- There are up to 5 slits, staggered in X direction for reasons of wafer coverage. The approach is roughly analogous to an inkjet printer
- Each slit area consists of 204 x 13 individual groups of beamlets, organized in a hexagonal array.
- All beamlets are simultaneously horizontally deflected over a range of 2µm while the wafer is scanned vertically.
- Each group comprises 49 individual beamlets (7x7). Each of the 49 beamlets can independently be switched on and off during exposure.
- Each beamlet results in a Gaussian spot on the wafer with 25 nm FW50 diameter (10.6nm 1).
- Total beamlet count will therefore equal 5 x 204x13 x 49 = 649,740. In the FLX-1200 and FLX-1300 the central 10% are used (one half slit area): 65,000
A more detailed description of the principles of operation is given in [2].
Figure 2,Distribution of the beams over the electron optics slit.
The focus of presentation will be the reporting of the performance achieved of the tool installed at CEA-Leti during endurance runs in full tool configuration. This includes status of:
- Exposure throughput
- Achieved resolution and CD uniformity
- Stitching performance
- Matched Machine Overlay
- Tool availability and uptime
Also the different application areas for such a maskless system are discussed.
In figure 3 a preview of a CD uniformity measurement result is shown. On a 300 mm wafer fields of 5mm x 5mm have been exposed containing 60nm dense lines and spaces. The main source of CD variation is caused by differences between the groups of beamlets. To measure this variation we have taken 824 SEM images, each taken of a pattern written by a different beam group. The result is shown in figure 3. The variation is 8nm 3s, and follows a Gaussian distribution of 6nm 3s.
Figure 3, Distribution of 824 CD measurements results on 60nm dense lines and spaces
Directed Self-Assembly (DSA) of Block Copolymer (BCP) is a promising lithography approach to achieve high resolution pattern dimensions. The current chemo-epitaxy process used to induce block copolymer self-alignment is showing today its limitations. This is due to the resolution limitation of conventional lithography technics needed for the guide formation, used to achieve BCP alignment. This paper introduces a new chemo-epitaxy process, named ACE (Arkema-CEA), which is based on sidewall image transfer (SIT) patterning. This process has the great advantage to offer guides of small critical dimension (CD) and pitch that allows the integration of high χ BCP. In this paper, different parameters of the ACE process are investigated (commensurability, spacer CD …) in order to precisely determine the DSA process window defining the best conditions for BCP alignment. Process window with multiplication factor ranging from 2 to 4 are obtained on BCP under investigation.
In this work, an evaluation of various adhesion promoters (or primers) for soft ultra-violet (UV) nanoimprint lithography (NIL) is reported. The evaluation is performed using 200 mm wafer scale in the HERCULES® NIL equipment platform available at the CEA-Leti. First, surface energies of the primers are determined through contact angle measurements. Next, atomic force microscope (AFM) measurements were carried out to evaluate the surface uniformity and roughness of the primed wafers. Thin film thickness measurements were performed by spectroscopic ellipsometry in order to select the most promising primer processes for high resolution etch mask and permanent applications. Afterwards, the adhesion layer performances of the selected primer processes were evaluated by an imprint test using a dedicated patterned master (critical dimension down to 30 nm and aspect ratios up to 1.5). Optical and scanning electron microscope (SEM) defect reviews were systematically performed. This evaluation enabled to benchmark several adhesion promotor solutions based on the grafted technology developed by ARKEMA in order to identify an efficient adhesive layer compatible with various NIL resists and substrates, such as silicon based materials or glass.
Multiple electron beam direct write lithography is an emerging technology promising to address new markets, such as truly unique chips for security applications. The tool under consideration, the Mapper FLX-1200, exposes long 2.2 μm-wide zones called stripes by groups of 49 beams. The critical dimensions inside and the registration errors between the stripes, called stitching, are controlled by internal tool metrology. Additionally, there is great need for on-wafer metrology of critical dimension and stitching to monitor Mapper tool performance and validate the internal metrology.
Optical Critical Dimension (OCD) metrology is a workhorse technique for various semiconductor manufacturing tools, such as deposition, etching, chemical-mechanical polishing and lithography machines. Previous works have shown the feasibility to measure the critical dimension of non-uniform targets by introducing an effective CD and shown that the non-uniformity can be quantified by a machine learning approach. This paper seeks to extend the previous work and presents a preliminary feasibility study to monitor stitching errors by measuring on a scatterometry tool with multiple optical channels.
A wafer with OCD targets that mimic the various lithographic errors typical to the Mapper technology was created by variable shaped beam (VSB) e-beam lithography. The lithography process has been carefully tuned to minimize optically active systematic errors such as critical dimension gradients. The OCD targets contain horizontal and vertical gratings with a pitch of 100 nm and a nominal CD of 50 nm, and contain various stitching error types such as displacement in X, Y and diagonal gratings.
Sensitivity to all stitching types has been shown. The DX targets showed non-linearity with respect to error size and typically were a factor of 3 less sensitive than the promising performance of DY targets. A similar performance difference has seen in nominally identical diagonal gratings exposed with vertical and horizontal lines, suggesting that OCD metrology for DX cannot be fully characterized due to lithography errors in gratings with vertical lines.
Operating maskless, massively parallel electron beam direct write (MEBDW) is an attractive alternative to optical lithography in micro and nano device manufacturing. Mapper Lithography develops MEBDW tools able to pattern wafers, for application nodes down to 28nm, with a throughput around one wafer per hour. A prototype tool from this series, named FLX-1200, is installed in the CEA-Leti clean room. This paper reviews the current performances of this prototype and the methodology used to measure them. On standardized exposure, consisting of 100 fields of 5×5mm2 exposed, in less than one hour, on 300mm silicon wafers, we obtained CD uniformity below 10nm (3σ) and LWR of 4.5nm for 60nm half pitch dense lines. We also demonstrate capability of 15nm and 25nm (3σ) for stitching and overlay errors respectively.
KEYWORDS: Overlay metrology, Metrology, Electron beam lithography, Lenses, Distance measurement, Electron beams, Raster graphics, Semiconducting wafers, Time metrology, Process control
One of the metrology challenges for massively parallel electron beams is to verify that all the beams that are used perform within specification. The Mapper FLX-1200 platform exposes fields horizontally segmented in 2.2 μm-wide stripes. This yields two parameters of interest: overlay is the registration error with respect to a previous layer, and stitching is the registration error between the stripes. This paper presents five novel overlay targets and one novel stitching target tailored for Mapper’s needs and measured on KLA-Tencor Archer 600 image based overlay (IBO) platform. The targets have been screened by exposure of a variable shaped electron beam lithography machine (Vistec VSB 3054 DW) on two different stacks: resist-to-resist and resist-to-etched silicon, both as a trilayer stack. These marks attain a total measurement uncertainty (TMU) down to 0.3 nm and move-and-measure (MAM) time down to 0.3 seconds for both stacks. The stitching targets have an effective TMU of 0.4 nm and a MAM time of 0.75 seconds. In a follow up experiment, the two best performing overlay targets have been incorporated in an exposure by a Mapper FLX-1200. With the new stack a TMU of 0.3 nm and MAM time of 0.35 s have been attained. For 107 out of 140 selected stripes the slope was constant within 2.5%, the offset smaller than 0.5 nm and correlation coefficient R2 > 0.98.
Directed Self Assembly (DSA) of block-copolymers (BCPs) is considered as a cost-effective solution to extend the performances of conventional lithography. In this work, we propose a smart surface modification technique to precisely control the surface affinity of guiding template used in the DSA graphoepitaxy process flows. The presented method consists in the UV irradiation of copolymers brushes in order to locally tune their surface affinity. By this way, we are able to differentiate the surface affinities of guide sidewalls (PMMA-attractive) and guide bottom (non-preferential affinity). A complete DSA-module is demonstrated and implemented on a 300mm integration flow dedicated to the creation of silicon nanowires-like transistor.
We investigate the fabrication of sub-20 nm pillars by DSA lithography using PS-cylinder-forming PS-b-PMMA block copolymer (BCP). The approach is based on the removal of PMMA-matrix by either dry or wet etching to form PS pillars which act as a soft etching mask that can be further transferred to an intermediate hard one and then to the substrate. The process conditions of BCP self-assembly were optimized in terms of annealing temperature, brush layer composition and film thickness. It was demonstrated that PS/PMMA volume fractions of 50/50 in the PS-r-PMMA brush layer is the most adapted to obtain standing PS cylinders. Top-down SEM images showed a hexagonal array of PS cylinders in a PMMA matrix with a natural period of 33.5 nm (determined by Fast Fourier Transform FFT method) and CD around 15 nm. Both wet and dry etching strategies for PMMA removal were discussed. It was shown that UV exposure followed by organic solvent development is necessary to decrease pattern collapsing during PMMA removal step which is the most critical issue for pillar fabrication. PMMA removal by dry etching was shown to completely avoid this pattern collapsing. Finally, pillars etching transfer to typical organic Si containing antireflective coating and spin on carbon (SiARC/SOC) hard mask and then to the silicon substrate was demonstrated. Si pillars of 15 nm CD and 70 nm height were obtained with a straight profile shape.
CH (Contact hole) patterning by DSA (Directed Self-Assembly) of BCP (Block Copolymer) is still attracting interest from the semiconductor industry for its CH repair and pitch multiplication advantages in sub-7nm nodes. For several years, extensive studies on DSA CH patterning have been carried out and significant achievements have been reported in materials and process optimization, CMOS integration and design compatibility and advanced characterization [1-4]. According to these studies, if a common agreement was clearly made for the use of PS-b-PMMA material as a potential candidate for DSA CH patterning integration in advanced nodes, the associated guiding template material was not yet selected and is still under investigation. Whereas the most reported guiding template materials for DSA PS-b-PMMA CH patterning are organic-based (resist or organic hard mask), we propose in this work to investigate a DSA process based on inorganic template material (silicon oxide based). Indeed, this latter offers some advantages over organic template: better surface affinity control, higher thermal stability during BCP self-assembly annealing, easier 3D-morphology imaging of DSA patterns and the possibility of wafer rework after the DSA step.
The inorganic template based DSA process was first optimized using the planarization approach [5]. We demonstrated that the silicon oxide thickness should be properly adjusted to allow a good control of the BCP thickness over different guiding template densities. Afterwards, we compared the DSA performances (critical dimension: CD; CD uniformity: CDU, contact misalignment and defectivity) between both inorganic and organic template approaches. Equivalent results were obtained as shown in Figure 1. Finally, we demonstrated that inorganic template allows the rework of DSA wafers: similar CD and CDU for both guiding and DSA patterns were obtained after 3 cycles of rework (Figure 2).
Mapper has installed its first product, the FLX–1200, at CEA-Leti in Grenoble (France). This is a maskless lithography system, based on massively parallel electron-beam writing with high-speed optical data transport for switching the electron beams. The FLX-1200, containing 65,000 parallel electron beams in a 13mm x 2mm electron optics slit, is capable of patterning any resolution and any different type of structure all the way down to 28 nm node patterns. As of August 2017 the FLX-1200 has a fully operational electron optics column, including a 65,000 beam blanker. In this paper the latest technical achievements of the FLX-1200 have been described: beam current is at 80% of FLX-1300 target (85 minutes per wafer). For 42nm hp dense lines a CDu of 8nm 3σ and a LWR of 5nm 3σ has been demonstrated. The stitching error is 12nm μ+3σ and regarding overlay a 15nm capability demonstrated, provided matching strategy is implemented and the mirror map is calibrated.
Mapper Lithography has introduced its first product, the FLX–1200, which is installed at CEA-Leti in Grenoble (France). This is a mask less lithography system, based on massively parallel electron-beam writing with high-speed optical data transport for switching the electron beams. This FLX platform is initially targeted for 1 wph performance for 28 nm technology nodes, but can also be used for less demanding imaging. The electron source currently integrated is capable of scaling to 10 wph at the same resolution performance, which will be implemented by gradually upgrading the illumination optics. The system has an optical alignment system enabling mix-and-match with optical 193 nm immersion systems using standard NVSM marks. The tool at CEA-Leti is in-line with a Sokudo Duo clean track. Mapper Lithography and CEA-Leti are working in collaboration to develop turnkey solution for specific applications.
At previous conferences we have presented imaging results including 28nm node resolution, cross wafer CDu of 2.5nm 3 and a throughput of half a wafer per hour, overhead times included. At this conference we will present results regarding the overlay performance of the FLX-1200.
In figure 2 an initial result towards measuring the overlay performance of the FLX-1200 is shown. We have exposed a wafer twice without unloading the wafer in between exposures. In the first exposure half of a dense dot array is exposed. In the second exposure the remainder of the dense dot array is exposed. After development the wafer has been inspected using a CD-SEM at 480 locations distributed over an area of 100mm x 100mm. For each SEM image the shift of the pattern written in the first exposure relative to the pattern written in the second exposure is measured. Cross wafer this shift is 7 nm u+3s in X and 5 nm u+3s in Y. The next step is to evaluate the impact of unloading and loading of the wafer in between exposures. At the conference the latest results will be presented.
KEYWORDS: Directed self assembly, Lithography, Line width roughness, Nanoimprint lithography, Semiconducting wafers, Etching, Electron beam lithography, System on a chip, Critical dimension metrology, Photoresist processing
In the lithography landscape, EUV technology recovered some credibility recently. However, its large adoption remains uncertain. Meanwhile, 193nm immersion lithography, with multiple-patterning strategies, supports the industry preference for advanced-node developments. In this landscape, lithography alternatives maintain promise for continued R&D. Massively parallel electron-beam and nano-imprint lithography techniques remain highly attractive, as they can provide noteworthy cost-of-ownership benefits. Directed self-assembly lithography shows promising resolution capabilities and appears to be an option to reduce multi-patterning strategies. Even if large amount of efforts are dedicated to overcome the lithography side issues, these solutions introduce also new challenges and opportunities for the integration schemes.
The evaluation of scatterometry for monitoring intended variations in innovative scatterometry targets that mimic nonuniformities potentially caused by multibeam Maskless Lithography (MEB-ML2) is presented. Specialized scatterometry targets consisting of lines and spaces were produced that have portions exposed using the nominal, or POR (Process of Record), dose, and portions exposed with a slightly different dose. These exposure plans created targets with different line CDs (critical dimensions). Multiple target designs were implement, each with a different combination of magnitude of CD shift and size of the region containing lines with a shifted CD. The scatterometry, or OCD (Optical Critical Dimension), spectra show clear shifts caused by the regions with shifted CD, and trends of the scatterometry results match well with trends of the estimated CD as well as the trends produced by measurements using a critical dimension scanning electron microscope (CD-SEM) system. Finally, the OCD results are correlated to the CD-SEM measurements. Taking into account resist morphology variations across the wafer, correlations between OCD and CD-SEM of the weighted average CD across the various targets are shown to be very good. Correlations are done using the rigorous TMU analysis methodology. Due to the different targeted CD values within each scatterometry structure, a new methodology for estimating the error of the CD-SEM measurements for nominally non-uniform targets is presented.
High chi organic lamellar-forming block copolymers were prepared with 18 nm intrinsic period Lo value. The BCPs were coated on a neutral layer on silicon substrates and were either thermally annealed or exposed to solvent vapors both in a 300mm track. The effect of lowering the glass transition temperature (Tg) on the high chi BCP was investigated. Process temperatures and times were varied. It was found that the BCP having lower Tg exhibits faster kinetics and is able to reach alignment in a shorter time than a similar BCP having higher Tg. Fingerprint defect analysis also shows that the BCP with lower Tg has lower defects. The results show that fingerprint formation can be achieved with either ether or ester type solvents depending on the BCP used. The results show that a track process for solvent annealing of high-χ BCPs is feasible and could provide the path forward for incorporation of BCP in future nodes. Finally, directed self-assembly was demonstrated by implemented high chi polymers on a graphoepitaxy test vehicles. CD and line width roughness was evaluated on patterns with a multiplication factor up to 7.
In this paper the rules-based correction strategies for the nanoimprint lithography (NIL) technology are addressed using complete Scanning Electron Microscopy (SEM) characterizations. Performed onto 200 mm wafers imprinted with the HERCULES NIL equipment platform, Critical Dimension (CD) uniformity analyses are used to measure the evolution of lines and spaces features dimensions from the master to 50 consecutive imprints. The work brings focus on sub micrometer resolution features with duty cycles from 3 to 7. The silicon masters were manufactured with 193 optical lithography and dry etching and were fully characterized prior to the imprint process. Repeatability tests were performed over 50 wafers for two different processes to collect statistical and comparative data. The data revealed that the CD evolutions can be modelled by quadratic functions with respect to the number of imprints and feature dimension (CD and pitch) on the master. These models are used to establish the rules-based corrections for lines arrays in the scope of nanoimprint master manufacturing, and it opens the discussion on the process monitoring through metrology for the nanoimprint soft stamp technologies.
DSA patterning is a promising solution for advanced lithography as a complementary technique to standard and future lithographic technologies. In this work, we focused on DSA grapho-epitaxy process-flow dedicated for contact hole applications using polystyrene-b-poly(methyl methacrylate) (PS-b-PMMA) block copolymers. We investigated the impact on the DSA performances of the surface affinity of a guiding pattern design by ArF immersion lithography. The objective was to control and reduce the polymer residue at the bottom of the guiding pattern cavities since it can lead to lower a DSA-related defectivity after subsequent transfer of the DSA pattern. For this purpose, the DSA performances were evaluated as a function of the template surface affinity properties. The surface affinities were customized to enhance DSA performances for a PS-b-PMMA block copolymer (intrinsic period 35nm, cylindrical morphology) by monitoring three main key parameters: the hole open yield (HOY), the critical dimension uniformity (CDU-3σ) and the placement error (PE-3σ). Scanning transmission electron microscopy (STEM) was conjointly carried out on the optimized wafers to characterize the residual polymer thickness after PMMA removal. The best DSA process performances (i.e., hole open yield: 100%, CDU-3σ: 1.3nm and PE-3σ: 1.3nm) were achieved with a thickness polymer residue of 7 nm. In addition, the DSA-related defectivity investigation performed by review-SEM enabled us to achieve a dense (pitch 120nm) contact area superior to 0.01mm2 free of DSA-related defects. This result represents more than 6x105 SEM-inspected valid contacts, attesting the progress achieved over the last years and witnessing the maturity of the DSA in the case of contact holes shrink application.
In this paper a first Critical Dimension (CD) uniformity assessment onto 200 mm wafers printed with the SmartNILTM technology available in the HERCULES® NIL equipment platform is proposed. The work brings focus on sub micrometer resolution features with a depth between 220 and 433 nm. The silicon masters were manufactured with 193 optical lithography and dry etching. A complete Scanning Electron Microscopy (SEM) characterizations were performed over the full masters surface prior to the imprint process. Repeatability tests were performed over 25 wafers first and then on 100 wafers to collect statistics and the CD distribution within a wafer and also wafer to wafer. The data revealed that the CD is evolving imprint after imprint and an explanation based on polymer shrinkage is proposed.
We focus on the directed self-assembly (DSA) for contact hole (CH) patterning application using polystyrene-b-poly(methyl methacrylate) (PS-b-PMMA) block copolymers (BCPs). By employing the DSA planarization process, we highlight the DSA advantages for CH shrink, repair, and multiplication, which are extremely needed to push forward the limits of currently used lithography. Meanwhile, we overcome the issue of pattern density-related defects that are encountered with the commonly used graphoepitaxy process flow. Our study also aims to evaluate the DSA performances as functions of material properties and process conditions by monitoring main key manufacturing process parameters: CD uniformity (CDU), placement error (PE), and defectivity [hole open yield (HOY)]. Concerning process, it is shown that the control of surface affinity and the optimization of self-assembly annealing conditions enable significant enhancement of CDU and PE. Regarding material properties, we show that the best BCP composition for CH patterning should be set at 70/30 of PS/PMMA total weight ratio. Moreover, it is found that increasing the PS homopolymer content from 0.2% to 1% has no impact on DSA performances. Using a C35 BCP (cylinder-forming BCP of natural period L0=35 nm), good DSA performances are achieved: CDU-3σ=1.2 nm, PE-3σ=1.2 nm, and HOY=100%. Finally, the stability of DSA process is also demonstrated through the process follow-up on both patterned and unpatterned surfaces over several weeks.
KEYWORDS: Lithography, Electron beam lithography, Silicon, Point spread functions, Scanning electron microscopy, Critical dimension metrology, 3D modeling, Information technology, Etching, Monte Carlo methods
In addition to sub-20 nm technology nodes, multi-beam lithography at low-energy has also the capability to address mature CMOS technologies [130-45nm nodes] with high throughput and significant manufacturing costs reduction. It requires both “fast” resists for throughput gain and cost of ownership and “thick” resists matched with the current post-lithography processes such as etching and implant steps. We successfully demonstrated patterning of 45-130 nm nodes structures on different thick resists (up to 160 nm) with a 5 keV Mapper pre-alpha tool. In parallel, we developed a theoretical model to simulate 3D patterning showing good agreement with our experimental results.
The development of multiple e-beam lithography equipment is seen as an alternative for next generation lithography.
However, similarly to EUV lithography, this technology faces important challenges in controlling the contamination of
the optics due to deposition of carbon layer induced by the outgassed chemical species from resist under electron
bombardment. An experimental setup was designed and built at LETI to study the outgassed species and observe the
carbon layer. In this setup, resist coated wafers 100 mm size are exposed under a 5 kV e-beam gun. During exposure, byproducts
from outgassed species are monitored with a Residual Gas Analyzer (RGA). The identification of outgassed
chemical species is done with an ex-situ TD-GC-MS analysis (ThermoDesorption-Gaz Chromatography-Mass
Spectrometry). In a second part of this investigation, we observed the contamination carbon layer growth induced by the
outgassing. Thereby, we fabricated a device which consists of a silicon membrane with micro-machined apertures.
During e-beam exposure, this device simulates the multiple parallel beams of the optic system of a maskless lithography
tool. The deposited contamination layer on device is then observed and thickness measured under SEM. In this paper, we
present the results of outgassing and contamination on 3 chemically amplified resists showing that contamination is not
directly dependent of the overall outgassing rate but on first order of the outgassing from Photo Acid Generator (PAG). It
also reports on the performance in reducing outgassing and contamination of applying a top-coat layer on top of the resist
and shows that reduction is more important for contamination than for outgassing.
The MATRIX platform integrates new types of modules for handling and alignment capability and this represents two new and innovative aspects for multi-beam lithography. Results on performances in terms of robustness of the different modules in real manufacturing conditions, including the interface of the MATRIX platform with the SOKUDO DUO track will be reported. A new type of alignment solution was developed by MAPPER. This paper will show the first results on alignment sensor repeatability. Preliminary results on the overlay performance of the MATRIX platform will be presented and discussion will be engaged to position the MAPPER alignment concept with respect to the ITRS roadmap expectations.
Among the different next generation lithography techniques, multibeam may arise as a cost effective solution to pattern sub-22nm technological nodes. A low LWR is required to keep downscaling. In this study, capability of producing low LWR 32/32nm L/S patterns with two different ebeam tools was evaluated. One state-of-the-art single variable shapedbeam (50kV) VISTEC SB3054 and a multiple Gaussian beam MAPPER ASTERIX pre-alpha tool (5kV) are used. Thanks to the great flexibility of e-beam lithography, exposure of biased designs in which the exposed area is reduced is carried out. Such exposure strategy showed a great effectiveness to lower LWR (down to around 3.0nm). To reduce further LWR some post litho-treatments such as thermal processing, plasma treatments and UV treatments are used on patterns exposed with VISTEC SB3054. A combination of a biased exposure and post-litho treatments reduced initial 4.8nm LWR down to 2.8nm (41.7% reduction). Once complete the LWR reduction protocol will be transferred on MAPPER exposures.
In emerging high-vacuum multi e-beams exposure tools, the release of hydrocarbonaceous species (precursor) by resists outgassing is unavoidable and leads to premature contamination of optics projection systems. In this work, we present an experimental methodology aiming at resist outgassing qualification. A specific experimental setup was designed to monitor the induced outgassing phenomena by irradiating resist coated on 100mm silicon wafer. The wafer can be exposed through specific silicon micromachined membranes (called mimics) that are representative of the optics projection system usually embedded in real multi e-beam exposure tools. A Quadrupole Mass Spectrometer (QMS) is plugged into the vacuum chamber and enables in-situ analysis of the by-products outgassing. Combining this tool with the Thermo Desorption - Gas Chromatography coupled to Mass Spectroscopy (TD-GC-MS) analysis, we could not only determine the outgassing amount of different resists but also identify all the outgassed by-products and their origin. Finally, the Focus Ion Beam combined to Scanning Electron Microscopy (FIB-SEM) and X-ray Photoelectron Spectroscopy (XPS) characterization techniques were used to determine the contamination layer thickness and elementary composition, respectively. A first process oriented conclusion from this work shows that the use of a thin topcoat layer can considerably reduce the resist outgassing amount and, consequently, the hydrocarbonaceous contamination layer induced on the mimics. The outgassing amount as well as the top-coat efficiency was shown to be mainly dependent on the resist chemical properties. The contamination layer growth was shown to be dependent on e-beam current density and hydrocarbon pressure in the vicinity of the mimics.
The goal of this paper is to investigate the potential of Directed Self-Assembly (DSA) to address
contact via level patterning, by either Critical Dimension (CD) shrink or contact multiplication. Using the
300mm pilot line available in LETI and Arkema materials, our approach is based on the graphoepitaxy of PS-b-
PMMA block copolymers (BCP). The process consists in the following steps: a) the lithography of guiding
patterns, b) the DSA of block copolymers and PMMA removal and finally c) the transfer of PS patterns into the
under-layer by plasma etching.
Several integration schemes using 193nm dry lithography are evaluated: negative tone development
(NTD) resists, a tri-layer approach, frozen resists, etc. The advantages and limitations of each approach are
reported. Furthermore, the impact of the BCP on the final patterns characteristics is investigated by tuning
different parameters such as the molecular weight of the polymeric constituents and the interaction with the
substrate. The optimization of the self-assembly process parameters in terms of film thickness or bake
(temperature and time) is also reported. Finally, the transfer capabilities of the PS nanostructures in bulk silicon
substrate by using plasma-etching are detailed.
These results show that DSA has a high potential to be integrated directly into the conventional CMOS
lithography process in order to achieve high-resolution contact holes. Furthermore, in order to prevent design
restrictions, this approach may be extended to more complex structures with multiple contacts and nonhexagonal
symmetries.
So far, the CMOS technology roadmap has been consistent with Moore’s law, even if manufacturing photolithography
tools are now operating beyond their resolution limit. This has been made feasible at the expense of an intensive joint
work between designers and process people who have successfully enabled double patterning processes. Tools that can
provide photo lithographers with some relief are on their way although not yet in production. Among them, massively
parallel mask-less electron beam lithography stands out as a serious candidate since it can achieve the required resolution
at the right cost of ownership provided targeted throughput performance is reached. This paper focuses on this latter
technique and more precisely, reports on simulation works performed using an emulator of the high volume
manufacturing tool being developed by MAPPER Lithography, called MATRIX.
In a nutshell, the MATRIX tool will operate using more than 13,000 beams, each one writing a stripe 2μm wide. Each
beam itself will be composed of 49 individual sub-beams that can be blanked independently in order to write pixels onto
the wafer. The residual placement errors and any current mismatch between the beams will be measured in-situ and
corrected through the data path. In order to validate that this concept can actually work, the authors have built an off-line
emulator of the data treatment performed down to the information sent to the blanker. It has then been plugged into an
electron beam simulator such that the performance on real designs can be tested.
In this paper, the methodology used for the corrections is explained as well as the validation process applied. The results
of an extensive statistical study are presented showing CD, placement and residual scaling errors simulated on a set of
predefined key structures assuming current and misplacement ranges within the MATRIX tool specifications, applying
various correction solutions.
Based on the collected data, it is shown that CD uniformity on the MATRIX tool is better than +/-10% 3σ taking into
account data path, beam variation, stitching and shot noise effects, meeting specifications for circuits designed at 64nm pitch.
James Cameron, Jim Thackeray, Jin Wuk Sung, Suzanne Coley, Vipul Jain, Owendi Ongayi, Mike Wagner, Paul LaBeaume, Amy Kwok, David Valeri, Marie Hellion, Béatrice Icard, Bernard Dal'zotto, Claire Sourd, Laurent Pain
Prompted by the fact that the International Technology Roadmap for Semiconductors (ITRS) has declared no proven
optical solutions are available for sub 22nm hp patterning, we have investigated e-Beam and Extreme Ultraviolet (EUV)
resist performance with a view to High Volume Manufacturing (HVM) at these design rules. Since these patterning
technologies are considered the leading candidates to replace Immersion ArF (ArFi) multilevel patterning schemes, it
was deemed prudent to assess the readiness of these imaging options. We review the advantages and disadvantages of
each patterning method and highlight general technology challenges as well as resist specific challenges. In terms of
resist specific challenges, we primarily focus on Resolution, Linewidth roughness and Sensitivity (RLS) tradeoffs for
both e-Beam and EUV patterning. These metrics are of particular relevance as the industry continues to contend with
the well known tradeoffs between these performance criteria. The RLS relationship is probed for both line space and
contact hole patterns with each exposure wavelength. In terms of resist selection, we focus on our advanced Polymer
Bound PAG (PBP) resist platform as it has been designed for high resolution applications. We also assess resist
outgassing during EUV exposure as it is a potential barrier to adoption of EUV for HVM.
R. Tiron, X. Chevalier, S. Gaugiran, J. Pradelles, H. Fontaine, C. Couderc, L. Pain, C. Navarro, T. Chevolleau, G. Cunge, M. Delalande, G. Fleury, G. Hadziioannou
In this paper we investigate the possibility to reach 300mm CMOS requirements by integrating
graphoepitaxy of PS-b-PMMA self-assembly. Different schemes to integrate DSA process by using 193nm dry
lithography or e-Beam lithography will be presented.
Moreover, several challenges like solvent compatibility, bake kinetics and defectivity will be addressed.
Concerning defectivity, we will propose a methodology in order to evaluate and optimize the long range order
induced by graphoepitaxy of the block copolymer DSA. This approach affords the monitoring of the overall block
copolymer self-assembly process and enables us to easily optimize the parameters required for a long-range order
structuration, leading to a near zero-defects block copolymers self-assembled arrays. Transfer capabilities of the PS
masks in the bulk silicon substrate by using plasma-etching will be also detailed, both with the film on bare silicon
or organized with graphoepitaxy approaches.
These results show the high potential of DSA to be integrated directly into the conventional CMOS
lithography process in order to achieve high resolution and pattern density multiplication, at a low cost.
In a period where industry strongly struggles to find a cost effective alternative solution to the 193nm double patterning
strategy, resist manufacturers actively started to design new resist platforms for the future lithography candidates such as
EUV or multi-beam. Chemically amplified resists proved their efficiency until now to reach resolution requirements and
simultaneously keeping sensitivity target. Below 20nm, edge roughness starts to play an important role on patterning
quality and critical dimension control. Simultaneously non CAR resist are showing attracting resolution progress with
reasonable sensitivity levels. In the frame of the multi-beam program IMAGINE, performances of advanced resist
platforms have been evaluated at various accelerating voltage: 5kV on the MAPPER multi-beam platform and at 100kV
on a VISTEC Gaussian tool. This paper reports on the comparison results obtained on those two types of chemistry
schemes in terms of resolution, sensitivity and roughness.
A roadmap extending far beyond the current 22nm CMOS node has been presented several times. [1] This roadmap
includes the use of a highly regular layout style which can be decomposed into "lines and cuts."[2] The "lines" can be
done with existing optical immersion lithography and pitch division with self-aligned spacers.[3] The "cuts" can be done
with either multiple exposures using immersion lithography, or a hybrid solution using either EUV or direct-write ebeam.[
4] The choice for "cuts" will be driven by the availability of cost-effective, manufacturing-ready equipment and
infrastructure.
Optical lithography improvements have enabled scaling far beyond what was expected; for example, soft x-rays (aka
EUV) were in the semiconductor roadmap as early as 1994 since optical resolution was not expected for sub-100nm
features. However, steady improvements and innovations such as Excimer laser sources and immersion photolithography
have allowed some manufacturers to build 22nm CMOS SOCs with single-exposure optical lithography.
With the transition from random complex 2D shapes to regular 1D-patterns at 28nm, the "lines and cuts" approach can
extend CMOS logic to at least the 7nm node. The spacer double patterning for lines and optical cuts patterning is
expected to be used down to the 14nm node. In this study, we extend the scaling to 18nm half-pitch which is
approximately the 10-11nm node using spacer pitch division and complementary e-beam lithography.
For practical reasons, E-Beam lithography is used as well to expose the "mandrel" patterns that support the spacers.
However, in a production mode, it might be cost effective to replace this step by a standard 193nm exposure and
applying the spacer technique twice to divide the pitch by 3 or 4.
The Metal-1 "cut" pattern is designed for a reasonably complex logic function with ~100k gates of combinatorial logic
and flip-flops. Since the final conductor is defined by a Damascene process, the "cut" patterns become islands of resist
blocking hard-mask trenches. The shapes are often small and positioned on a dense grid making this layer to be the most
critical one. This is why direct-write e-beam patterning, possibly using massively parallel beams, is well suited for this
task. In this study, we show that a conventional shaped beam system can already pattern the 11nm node Metal-1 layer
with reasonable overlay margin.
The combination of design style, optical lithography plus pitch-division, and e-beam lithography appears to provide a
scaling path far into the future.
Dimensions on mask continue to shrink to keep up with the ITRS roadmap. This has implications on the material of
choice for the blanks. For example, the new binary OMOG stack (Opaque MOSi on Glass) was successfully introduced
to meet the mask specifications at the 32nm technology node. Obviously 193-nm optical lithography will be further used
in production at even higher NA and lower k1 emphasizing, for example, the impact on wafer of any electromagnetic
field migration effects. Indeed, long term radiation damage inducing CD growth and consequently, device yield loss, has
already been reported [1, 2]. This mechanism, known as Electric Field induced Migration of chrome (EMF) often
shortens the mask's lifetime.
Here, a study was conducted to investigate the impact of intensive ArF scanner exposure both on final wafer and mask
performances. The Si printed wafers measured with top-down CD-SEM were characterized with respect to CD
uniformity, linearity, Sub Resolution Assist Feature (SRAF) printability through process window, MEEF, DOF, and
OPC accuracy. The data was also correlated to advanced mask inspection results (e.g. AIMSTM) taken at the same
location. More precisely, this work follows a preliminary study [1] which pointed out that OMOG is less sensitive to
radiation than standard COG (Chrome On Glass). And, in this paper, we report on results obtained at higher energy to
determine the ultimate lifetime of OMOG masks.
KEYWORDS: Semiconducting wafers, Point spread functions, Line edge roughness, Calibration, Modulation, Monte Carlo methods, Electron beam lithography, Projection lithography, Printing, Optical proximity correction
It is now obvious that the path leading to denser IC has become hazardous since 193nm scanners have been operating
beyond their resolution limit. However if the tools that could provide photo-lithographers with some relief are not in
production yet, luckily enough, good progresses were made in developing alternative photolithography techniques.
Among them, massively parallel mask less lithography stands out as a serious candidate since it can achieve the required
resolution at the right cost of ownership provided targeted throughput performance is reached. This paper will focus on
this latter technique and more precisely, will report on part of the development works performed at CEA/LETI using the
MAPPER technology inside the open multi-partners program IMAGINE.
Data preparation is certainly not the easiest part in the technology. Indeed, layouts are basically turned into huge bitmap
streams containing the information to be sent to the thousands of parallel beams working all together to print the patterns
correctly. Addressing the low energy specific case, we had studied several ways of performing this step involving
geometrical correction with and without dose modulation. The results were analysed against the achieved design to wafer
fidelity and the robustness of the patterns with respect to process variations and shot noise.
The intention of the paper is therefore to give a status towards where E-Beam Proximity Correction (EBPC) performance
stands today using current MAPPER alpha tool. It will also provide with some insights about how corrections will be
performed on the HVM tool.
In the latest ITRS roadmap updated in July 2010, Maskless remains identified as one of the candidate to address lithography needs for the sub-16nm technology nodes. The attractiveness of this solution in terms of cost and flexibility linked to the throughput potential of the massively parallel writing solutions maintain the interest of large scale IC manufacturers, such as TSMC(1) and STMicroelectronics, to push the development of this technology. In July 2009, LETI and MAPPER have initiated an open collaborative program IMAGINE focused on the assessment of the MAPPER technology. This paper reports on the key results obtained during this first assessment year in terms of: resolution capabilities, stitching performances, technology reliability and infrastructure development. It also provides an extensive overview on the maturity degree and the ability of this low energy accelerating voltage multibeam option to answer to the industry needs in the 2015 horizon.
As mask dimensions continue to shrink to meet the ITRS roadmap and with the extension of 193 nm immersion
lithography, the masks are affected by electromagnetic field at high NA. Absorber degradation is regularly reported
under long term 193 nm exposures in the subwavelength diffraction regime. The damage mechanism known as Electric
Field induced Migration of chrome (EFM) partly contributes to the lifetime reduction of advanced masks. The EFM
results in a progressive alteration of the Critical Dimension (CD), CD uniformity (CDU) degradation and assist features.
This study evaluates the impact and the rate of absorber degradation due to an intensive ArF irradiation on assist features
and its influence on the through pitch process window for sub-45 nm technology nodes. Lithographic performance is
characterized after cumulative reticle aging stages. The aging test exposures are carried out directly on 193 nm scanner
to duplicate the production environment. The analysis of printed wafers is correlated to advanced mask inspection
(AIMSTM). This paper reports results on irradiation damage sensitivity on two types of reticles: conventional 6%
attenuated PSM and new binary material OMOG (Opaque MoSi On Glass) reticle. Test patterns have been generated
with and without a set of Optical Proximity Corrections (OPC) model calibration structures based on 45nm down to
28nm half-pitch design. The combination of metrology measurements used in this work between printed wafers and
reticles enables to define accurately the impact of mask damage caused by EFM effects on various test patterns and CD
evolution and highlight some trends about advances masks aging phenomenon.
KEYWORDS: Electroluminescence, Modulation, Line edge roughness, Electron beam lithography, Semiconducting wafers, Logic, Metals, Etching, Electron beam direct write lithography, Electron beams
Electron Beam Direct Write lithography is used in the IC manufacturing industry to sustain optical lithography for
prototyping applications and low volume manufacturing. It is also used in R&D to develop the technological nodes
ahead of mass production. As microelectronics is now moving towards the 32nm node and beyond, the need to
accurately control the dimensions and the roughness of the features becomes tighter. As a consequence the requirements
in terms of process window and resolution for the electron beam tools are more stringent. However the standard
proximity effects corrections show difficulties to provide the required energy latitude for the sub-22nm nodes. A new
approach is thus required to improve the patterning capabilities of electron beam lithography. In previous papers a new
writing strategy based on multiple pass exposure has been introduced and optimized to pattern critical dense lines. This
technique consists in adding small electron Resolution Improvement Features (eRIF) on top of the nominal structures.
Previous studies have demonstrated that the energy latitude and the writing time can be optimized by tuning the design
of the eRIF. A methodology to implement the eRIF on dense lines has also been established. The goal of this paper is to
extend the use of the multiple pass exposure strategy to more complex designs taken from products layouts. The most
critical layers of SRAM and Logic layouts down to the 16nm node are corrected with this advanced correction technique.
The results from wafer exposures show that the edge roughness of the features is decreased and the energy latitude of our
process is multiplied by two for each SRAM layer. Thanks to these improvements of the patterning capabilities of our
electron beam tool, a gain in resolution of one technological node is achieved. Finally a method is proposed to implement the multiple pass exposure within an automated data preparation flow.
KEYWORDS: Data modeling, 3D modeling, Electron beam direct write lithography, Point spread functions, Critical dimension metrology, Model-based design, Geometrical optics, Cadmium, Error analysis, Virtual reality
We demonstrate a flow for e-beam proximity correction (EBPC) to e-beam direct write (EBDW) wafer manufacturing
processes, demonstrating a solution that covers all steps from the generation of a test pattern for (experimental or virtual)
measurement data creation, over e-beam model fitting, proximity effect correction (PEC), and verification of the results.
We base our approach on a predictive, physical e-beam simulation tool, with the possibility to complement this with
experimental data, and the goal of preparing the EBPC methods for the advent of high-volume EBDW tools.
As an example, we apply and compare dose correction and geometric correction for low and high electron energies on
1D and 2D test patterns. In particular, we show some results of model-based geometric correction as it is typical for the
optical case, but enhanced for the particularities of e-beam technology.
The results are used to discuss PEC strategies, with respect to short and long range effects.
KEYWORDS: Electroluminescence, Electron beam direct write lithography, Standards development, Electron beam lithography, Optical lithography, Semiconducting wafers, Electron beams, Lithography, Line edge roughness, Optics manufacturing
Electron beam direct write lithography is used in the ASIC manufacturing industry to sustain optical lithography for
prototyping applications, low volume production and for the development of the next technological nodes. However the
standard proximity effects corrections based on dose modulation are not sufficient to provide the patterning accuracy
required for the sub-32nm nodes. New methods are needed to push the resolution capabilities of electron beam
lithography. In a previous paper, a new writing strategy based on multiple pass exposure has been introduced. It consists
in adding small electron Resolution Improvement Features (eRIF) atop the nominal features. Thanks to this new method,
critical lines have been patterned with enlarged energy latitude. In this paper, multiple pass exposure is applied to the
sub-32nm nodes. The influence of the design of the eRIF is analysed in detail. The best conditions in terms of dose, size
and placement of the eRIF are used to establish a methodology to optimize this new strategy. Using multiple pass
exposure, the energy latitude was increased up to about 20% which is three times the energy latitude of the standard
exposure. Then the impact of multiple pass exposure on the writing time of the electron beam tool is studied. It appears
that a compromise has to be found between the writing time and the improvement of the energy latitude. Finally it is
shown that the resolution capabilities of the electron beam lithography can be increased using the multiple pass exposure
strategy.
KEYWORDS: Vestigial sideband modulation, Electron beam direct write lithography, Electron beam lithography, Beam shaping, Scanning electron microscopy, Standards development, Lithography, Prototyping, Semiconducting wafers, Electron beams
The ever more demanding requirements in the semiconductor manufacturing sector together with the increasing mask making costs and cycle times call for new lithographic solutions. Electron beam lithography has shown its superior performance and flexibility in advanced patterning applications. It enables already today process and technology developments ahead of the ITRS roadmap, which addresses currently the 32nm and 22nm node or even below. Thus electron beam direct write (EBDW) can avoid the high costs and delay times related to the advanced masks required for critical layers.
On the other side EBDW faces the concerns regarding its throughput, which bases upon the inherited sequential exposure method. A solution to improve the throughput performance offers the implementation of the cell projection method as already materialized in the Vistec SB3055 tool. In addition to the variable shape beam technology, which can project regular structures (rectangles, slants and triangles) only, cell projection is able to image complex structures. Thus, structures that would have required a multiple of regular shots are now projected in one single shot. Thanks to this approach not only the shot count is noticeably reduced, but also the overall throughput is increased. First experimental and simulation results show an improvement of a factor of about 3X. Nevertheless, the final throughput gain strongly depends on the pattern and data structure itself.
Combining high resolution variable shape beam technology with the cell projection feature allows advanced R&D and small volume and prototyping applications to be performed with one system. The Vistec SB3055 features the high resolution capability of variable shape beam lithography and incorporates the advantages of the cell projection technology. Owing to this new option we are able to improve the throughput for standard design features while maintaining the required high accuracy of our exposure system. Beside this, the combination of cell projection and standard shape beam technology still offers a high degree of flexibility as the key advantage of EBDW.
On the Vistec SB3055 system we have performed different resolution tests serving as comparison between cell projection and standard shape beam. In this paper we will present the resolution capability obtained with cell projection on test structures as well as the general accuracy achieved for real patterns.
KEYWORDS: Electroluminescence, Line edge roughness, Electron beam lithography, Electron beam direct write lithography, Modulation, Scattering, Optical lithography, Point spread functions, Standards development, Lithography
Electron beam direct write lithography is known for its high resolution capabilities, which enables studies ahead of the technology in production. That is why this technique is used for many years in laboratories for R&D. Recently it was shown that electron beam lithography can be integrated within the flows of the microelectronics industry for prototyping applications, low volume production and to support optical lithography for ASIC manufacturing. Moreover recent lithography workshops highlighted that the multi beam solution is identified as one potential technique for next generation lithography techniques to meet the requirements of sub-32nm technological nodes. The present proximity correction methods for electron beam lithography are based on the standard dose modulation principle. However these methods cannot properly ensure a sufficient control of the patterning of the most critical designs.
To push the resolution capability of electron beam lithography, a new correction method is proposed. It consists in a multiple pass exposure strategy. For example instead of patterning a line in one pass (standard exposure), the pattern is split in several basic blocks with potential overlaps exposed in several passes and with an adapted dose. Compared to standard exposure, this solution provides an improved process window and a better control of the critical dimensions. We could achieve energy latitude of 22.2% and we improved the line edge roughness by 27% on 45nm dense lines (line width equal to space) with this method.
Due to the ever-increasing cost of equipment and mask complexity, the use of optical
lithography for integrated circuit manufacturing is increasingly more complex and expensive.
Recent workshops and conferences in semiconductor lithography underlined that one alternative
to support sub-32nm technologies is mask-less lithography option using electron beam
technology. However, this direct write approach based on variable shaped beam principle (VSB)
is not sufficient in terms of throughput, i.e. of productivity. New direct write techniques like
multibeam systems are under development, but these solutions will not be mature before 2012.
The use of character/cell projection (CP) on industrial VSB tools is the first step to deal with the
throughput concerns. This paper presents the status of the CP technology and evaluates its
possible use for the 45nm and 32nm logic nodes. It will present standard cell and SRAM
structures that are printed as single characters using the CP technique. All experiments are done
using the Advantest tool (F3000) which can project up to 100 different cells per layer. Cell
extractions and design have been performed with the design and software solution developed by
D2S. In this paper, we first evaluate the performance gain that can be obtained with the CP
approach compared to the standard VSB approach. This paper also details the patterning
capability obtained by using the CP concept. An evaluation of the CD uniformity and process
stability is also presented. Finally this paper discusses about the improvements of this technique
to address high resolution and to improve the throughput concerns.
E-beam Maskless activities raised a lot of interest in the past years from semiconductor
companies strongly concerned by the constant cost increase of masked-based lithography (1).
Beginning of 2008, the European Commission started an integrated program called "MAGIC",
Maskless lithography for IC manufacturing, which pushes the development and the insertion
of the European multi-beam technology (2) in the semiconductor industry. This project
supports also to develop the infrastructure for the use of this technology, including resist
processes, data processing and proximity corrections.
Within MAGIC, MAPPER develops its low energy (5keV) massively parallel concept (3).
Compared to a standard single E-Beam machine working classically at 50kV, this low
accelerating voltage requires the use of thin resist film to deal with the lower penetration
depth of the electrons. This paper presents the resist development status, including
Chemically Amplified Resist and non-CAR platforms. Comparisons of the performances of
these resist platforms in terms of resolution, sensitivity, roughness and stability are detailed,
including their potential integration into CMOS technological flow. Finally, a first review of the
state of the art of resist performance for patterning at 5kV will be performed. Based on the
level of achievements presented in this paper, a discussion is also engaged about the needs
of resist developments to fulfill industry targets in 2011.
KEYWORDS: Optical alignment, Semiconducting wafers, Optical lithography, Electron beams, Electron beam direct write lithography, Lithography, Signal to noise ratio, Overlay metrology, Signal detection, Wafer testing
With shrinking dimensions in the semiconductor industry the lithographic demands are exceeding the parameters of the
standard optical lithography. Electron beam direct write (EBDW) presents a good solution to overcome these limits and
to successfully use this technology in R&D as well as in prototyping and some niche applications. For the industrial
application of EBDW an alignment strategy adapted to the industrial standards is required to be compatible with optical
lithography. In this context the crucial factor is the overlay performance, i.e. the maturity of the alignment strategy under
different process conditions. New alignment marks improve the alignment repeatability and increase the window of the
signal-to-noise ratio towards smaller or noisier signals. Particularly the latter has proved to be a major contribution to a
higher maturity of the alignment. A comparison between the double cross and the new Barker mark type is presented in
this paper. Furthermore, the mark reading repeatability and the final overlay results achieved are discussed.
The beam energy is a driving design parameter for electron beam lithography systems. To be able to compare the
differences of low kV (5 kV) and high kV (100 kV) for a high-throughput system the limitations of both types of systems
are evaluated. First the effect on the CD uniformity and throughput is analyzed. For any shot noise limited system the
dose that is needed to obtain a required CD uniformity can be calculated. This dose depends on the total spot size and the
efficiency of the electrons in the resist. For a smaller spot less dose is required than for a large spot. The current in a
single beam is also determined by the spot size. A larger spot has more current. With these parameters an optimization of
the required dose, spot size and single beam current can be made. It is found that although for high kV it is easier to
create a small spot with a high current the low resist-exposure efficiency of the high-energy electrons limits the
throughput, because the required dose is large. It is also found that for 10 wafers per hour multiple lenses or columns are
required. For practical reasons (a high kV lens cannot be made as small as a low kV lens) there is a clear preference for
the use of low energy in high-throughput systems. Another aspect that is crucial in the lithography process is the overlay.
One of the main differences between high and low energy systems is the power that is dissipated in the wafer and the
resulting error due to expansion. It is found that for both energies wafer heating is an issue, but for low kV there seem to
be solutions, while for high kV the problem is 30 times bigger.
With the willingness of the semiconductor industry to push manufacturing costs down, the mask
less lithography solution represents a promising option to deal with the cost and complexity concerns
about the optical lithography solution. Though a real interest, the development of multi beam tools still
remains in laboratory environment. In the frame of the seventh European Framework Program (FP7), a
new project, MAGIC, started January 1st 2008 with the objective to strengthen the development of the
mask less technology. The aim of the program is to develop multi beam systems from MAPPER and
IMS nanofabrication technologies and the associated infrastructure for the future tool usage. This paper
draws the present status of multi beam lithography and details the content and the objectives of the
MAGIC project.
KEYWORDS: Modulation, Critical dimension metrology, Electron beam direct write lithography, Point spread functions, Electron beam lithography, Cadmium sulfide, Electron beams, Optical lithography, Manufacturing, Backscatter
After the successful results obtained in the last few years, electron beam direct write (EBDW) lithography for use in integrated circuit manufacturing has now been demonstrated. However, throughput and resolution capabilities need to be improved to push its interest for fast cycle production and advanced research and development applications. In this way, the process development needs good patterns dimensional accuracy, i.e., a better control of the proximity effects caused by backscattering electrons and others phenomenon. In this work, the limitations of the dose modulation method are investigated through the change of dose number steps and the use of a more accurate point spread function. To continue reducing feature sizes, a method to provide a complementary correction to the dose modulation solution is proposed. This rule-based electron beam proximity correction, or REBPC, provides good results down to 40 nm.
In semiconductor industry time to market is one of the key success factors. Therefore fast prototyping and low-volume production will become extremely important for developing process technologies that are well ahead of the current technological level. Electron Beam Lithography has been launched for industrial use as a direct write technology for these types of applications. However, limited throughput rates and high tool complexity have been seen as the major concerns restricting the industrial use of this technology. Nowadays this begins to change. Variable Shaped Beam (VSB) writers have been established in Electron Beam Direct Write (EBDW) on Si or GaAs. In the paper semiconductor industry requirements to EBDW will be outlined. Behind this background the Vistec SB3050 lithography system will be reviewed. The achieved resolution enhancement of the VSB system down to the 22nm node exposure capability will be discussed in detail; application examples will be given. Combining EBDW in a Mix and Match technology with optical lithography is one way to utilize the high flexibility advantage of this technology and to overcome existing throughput concerns. However, to some extend a common Single Electron Beam Technology (SBT) will always be limited in throughput. Therefore Vistec's approach of a system that is based on the massive parallelisation of beams (MBT), which was initially pursued in a European Project, will also be discussed.
KEYWORDS: Monte Carlo methods, Point spread functions, Electron beam direct write lithography, Optical simulations, Electron beam lithography, Electron beams, Convolution, Scattering, Photoresist processing, Laser scattering
Electron Beam Direct Write (EBDW) is involved today in advanced devices
manufacturing and technology node development. As a consequence, EBDW is supporting an
increasing number of technologies and several layers per technology. In this context, an
EBDW simulator can strongly help this development study and reduce process development
cycle time. Today, available EBDW simulators are based on the use of a Point Spread
Function (PSF) to describe the energy absorbed into the resist during exposure and resist
models. Beside a constant improvement of these models limitations are observed in simulation
of sub-45nm nodes. In this paper, several simulation methods are investigated with the
purpose to build a simulation method relevant for sub-45nm nodes. The limitations of classical
EBDW simulation based on a full process flow simulation are evaluated for line width below
100nm. Then, a reduced process flow simulation limited to the exposure step is investigated
with the use of both a simulated PSF and an empirical PSF. We will see that the approach to
use an empirical PSF with the reduced process flow simulation has good predicting
capabilities in simulating structures down to 40nm.
With the strong increase of mask complexity and associated price for each new technology node, mask less lithography represents more and more an interesting and complementary alternative for ASIC manufacturing especially in the fields of low volume and leading eadge technology applications. In the semiconductor business where prices and cycle time are constantly pressured, the capability and flexibility of the electron beam direct write offer an effective real cost and cycle time opportunity thanks to its high-resolution capability but also to its ability to print, modify or correct design everywhere in a circuit. This paper highlights application examples where the advantages of this lithography solution are demonstrated for advanced research and development application with the patterning of 45 nm SRAM and for the fast validation of architecture designs. This work confirms that mask less lithography can be transparently placed into production environment, in association with the "golden" optical lithography reference.
KEYWORDS: Line width roughness, Electron beam direct write lithography, Semiconducting wafers, Electron beam lithography, Electron beams, Manufacturing, Etching, Photoresist processing, Coating, Semiconductors
Electron Beam Direct Write (EBDW) lithography represents a low cost and a rapid way to start basic studies for advance devices and process developments. Patterning for sub-45nm node technology requires the development of high performance processes. Different alternatives for the improvement of EBDW lithography are investigated in this paper for the ASIC manufacturing on 300mm wafer size. Among them, process development has been tuned for clear field equivalent level to improve both line width roughness by monitoring post applied bake conditions, and both process window by specific design correction. Concerning dark field level, process resolution has been improved by a shrinkage technique.
Laurent Pain, M. Jurdit, Yves LaPlanche, J. Todeschini, Serdar Manakli, G. Bervin, Ramiro Palla, A. Beverina, R. Faure, X. Bossy, H. Leininger, S. Tourniol, M. Broekaart, F. Judong, K. Brosselin, P. Gouraud, Veronique De Jonghe, Daniel Henry, M. Woo, Peter Stolk, B. Tavel, F. Arnaud
The introduction of Electron Beam Direct Write lithography into production represents a
challenging alternative to reduce cost and cycle time increase induced by the introduction of new
generation nodes. This paper details the development work performed to insert transparently direct
write lithography process and alignment strategies into CMOS process flows. Finally, this
interchangeability between E-Beam and optical lithography steps offers a complete flexibility for
device architecture validation and allowed the development of a complete low cost 65nm platform
including low-power and general-purpose applications.
With the objective to ramp-up 65 nm CMOS production in early 2005, preliminary works have to start today to develop the basic technological in order to be correctly prepared. In the absence of commercial advanced 193 nm scanners compatible with these aggressive design rules, electron beam technology was employed for the realization of a first 6-T SRAM cell of a size of 0.69 μm2. This paper highlights the work performed to integrate E-beam lithography in this first 65 nm CMOS process flow.
An easy way to pattern 65nm CD target, when optical lithography technology is not available, is to use an Electron Beam Direct Write tool (EBDW), which is well known for its high resolution patterning potentials, with the drawback of a very low throughput. Emerging techniques of electron projection lithography also propose the same patterning capability with enhanced throughput. One of the most crucial issues, when dealing with integration, is the overlay capability of the systems. This paper exposes the studies made on the overlay capability issue of the LEICA EBDW installed in STMicroelectronics (STM) production plant in Crolles (France) and proves our tool is ready to support the 65nm node technology development.
In this study, it is investigated how chemical modifications of a given resist platform can induce improvements in e-beam lithographic performances. Molecular weight (Mw) as well as photo-acid generator (PAG) modifications will act as fine tuners for Sumitomo NEB-33 negative resist to match specific applications: preparation of advanced CMOS R&D architecture (highly resolving resists needed) and fast patterning for production environment (highly sensitive resists needed).
For the sub-90 nm node integrated circuits design rules, ITRS forecasts require minimal gate line width down to 55-35 nm. To reach such aggressive targets, most advanced optical lithography tools combined with all reticle enhancement techniques will be requested inducing important manufacturing cost and mask cycle time increase. In order to address prototyping market and reduce fabrication cost, shaped electron beam lithography may represent a technological alternative for cost reduction due to its high resolution and potential throughput capabilities. This paper is focused on the integration of this technology in standard ASIC plant, including resist process and overlay capabilities.
Laurent Pain, C. Gourgon, K. Patterson, B. Scarfogliere, Serge Tedesco, Gilles Fanget, B. Dal'zotto, M. Ribeiro, Tadashi Kusumoto, Masumi Suetsugu, Ryotaro Hanawa
Chemical Amplification Resists (CAR) are now widely used in optical lithography since the introduction of the deep UV era. One advantage of the CARs is also their full compatibility with electron beam writing. This paper is focused on the development work of a negative tone resist. The influence of resist compounds such as polymer matrix composition and PAG size on diffusion and ultimate resolution is detailed. Finally the pattern transfer capabilities of a 30 nm isolated line into a polysilicon layer is presented.
For the sub 130nm technology nodes, 193nm(ArF) lithography has become the technology path of choice. Similar to the 248nm technology set, the resist systems being used for 193nm lithography are based on chemical amplification to achieve high throughput at the low exposure energy at 193nm. The current ArF resist systems have experienced problems with etch selectivity and line slimming during CD-SEM measurement. Both of these issues are related to the resist platform and constituents used to achieve the desired lithographic performance. This investigation evaluates electron beam stabilization as a way of addressing both the etch selectivity and line slimming issues associated with some of the current 193nm resist systems. Varying levels of electron beam dose were evaluated in an attempt to understand the effects of energetic electrons on ArF resist materials. Chemical changes in the resist were monitored for blanket resist films by FTIR, film shrinkage, and changes in index of refraction, all as a function of dose level. An increase in modification of the resist is seen with increasing dose. Blanket resist etch rate studies were performed as a function of stabilization condition. The etch rate of the resist was found to decrease with increasing dose as compared to untreated resist. Correlation of the chemical changes and etch rate reductions are proposed for the resists considered. The CD changes induced by the electron beam stabilization were monitored as a function of dose applied. Minimal CD change was seen as a result of the stabilization process. The impact of the electron beam process on line slimming was evaluated by performing repeated measurements on resist features with different levels of electron beam dose. The line slimming was found to be significantly reduced for the higher dose levels considered. Etch selectivity was evaluated by cross-section SEM measurements after etch of features with different levels of stabilization. An increase in the etch selectivity and pattern stability were observed with increasing stabilization dose.
Commercially available photoresists for 193nm litho technology still suffer of undesired phenomena, which could eventually limit the stability of critical layer processing. Also standard CD-SEM inspection has its impact on the overall litho budget, as the interaction between the primary electron beam and the photoresist locally modifies target dimension. The reduction of this effect can be important to preserve geometrical and also electrical characteristics of the chip, as the local variation of the CD is detectable also after target etching and resist removal. In this paper different strategies to reduce its impact onto production wafers are investigated and compared. By applying a combination of these techniques, CD local modification can be lowered up to 75%.
This paper presents the process optimization study of negative tone Chemically Amplified Resists (CAR) under E-Beam exposure. The importance of post apply bake temperature choice on resolution is underlined. The process study determines the process window in which optimal conditions of both post apply and post exposure bake steps are defined and present a method to define more precisely the thermal cross-linking onset. Finally lithographic performances of CARs are studied and we show that resolution can be pushed down to 40 nm.
Laurent Pain, Yorick Trouiller, Alexandra Barberet, O. Guirimand, Gilles Fanget, N. Martin, Yves Quere, M. Nier, Emile Lajoinie, Didier Louis, Michel Heitzmann, P. Scheiblin, A. Toffoli
193 nm lithography is expected today to be an emerging solution for the development and the production of future integrated circuits based on sub 150 nm design rules. However the characterization and the evaluation of these tools require a lot of effort due to the 193 nm resist behavior during SEM observations. This paper presents the process flow chart to allow the evaluation of a ASM-L 5500/900 193 nm scanner by electrical measurement and the stack used for this study. After the validation of this flow chart, this work gives an overview of the ASM-L 5500/900 performances.
The influence of extra free volume content, generated during spin-coating, on the lithographic performance of DUV chemically amplified positive resists has been reported in several papers. During exposure and PEB, the deprotection reaction, together with the evolution of the protecting group, constitute a new source of free volume. As investigated in this study, in addition to the chemical modifications resulting from the deprotection reaction, the free volume content, and its variations during PEB, may affect the dissolution properties of the exposed areas.
KEYWORDS: Diffusion, Deep ultraviolet, Temperature metrology, Critical dimension metrology, Lithography, Polymers, Surface conduction electron emitter displays, Photoresist materials, Process control, Scanning electron microscopy
For the achievement of the 0.25 micrometers design rule technology, the CD variations must not exceed +/- 25 nm; this has to be ensured by a high performance reproducible process. The resolution capability of DUV lithography at 248 nm has already been demonstrated. However, positive tone DUV materials and their associated processes are still under optimization. Among the various process steps to be optimized, the baking steps are of great importance. Taking into account the conclusions drawn on SB mechanisms in a previous work, the role of PEB has been studied in order to obtain better linewidth control and process reproducibility. For this study, the BASF ST2 resist has been chosen as a typical example of advanced DUV positive resists. The lithographic experiments have been performed in a 200 mm DUV lithographic cell, including an ASM-L 5500/90 DUV stepper and a MARK 8 TEL track. Two main conclusions can be drawn. Firstly, the experimental results obtained clearly demonstrate that the PEB temperature is directly correlated with the viscoelastic properties (Tg) of the resist material: two diffusion regimes, below and above Tg, can be observed. Secondly, the process latitude as well as CD reproducibility are increased for PEB temperatures below Tg.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.