Advanced AI systems require more exotic packaging solutions to increase performance and manage power loads. Packaging designers not only need increased interconnect density through pitch scaling, but also seek to print this advantage over areas beyond the capability of standard lithography. These demands call for innovations in materials, processes, integrations, and tools for packaging. In a bid to find solutions for finer L/S pattern in redistribution layers, photo-imageable polyimides (PIDs) from different vendors were tested for performance properties (resolution, dose to size, sidewall quality) using direct write exposure methods, and then assessed for compatibility in a dual damascene (DD) integration for FOWLP packaging.
Changes in morphology and swimming dynamics of plankton by exposure to toxic chemicals are studied using a novel a new paradigm of image acquisition and computer vision system. Single cell ciliate Stentor coeruleus enclosed in a drop of water provide a means to automatically deposit many individual samples on a at surface. Chemicals of interest are automatically added to each drop while the dynamical and morphological changes are captured with an optical microscope. With computer vision techniques, we analyze the motion trajectory of each plankton sample, along with its shape information, quantifying the sub-lethal impact of chemicals on plankton health. The system enables large screening of hundreds of chemicals of environmental interest which may make their way into water habitats.
The critical layer masks for 14 nm and 10 nm logic nodes are typically bright field, and the key features are opaque
structures on the mask. In order to meet the tight critical dimension (CD) requirements on these opaque features the use
of a high quality negative tone chemically amplified e-beam resist (NCAR) is required. Until very recently the only
negative tone e-beam resists available for use by the mask industry were the traditional cross linking type in which ebeam
exposure cross links the material and makes it insoluble in developer. In this paper we will describe the
performance of a new polarity switching type of NCAR resist that works by changing the solubility of the exposed resist
without cross linking. This has the advantage of significantly reduced swelling and scumming and resulted in major
improvements in the resolution of heavily nested features and small clear features on the mask. Additional detailed
characterization results will be described.
The use of organic solvents in the development of chemically amplified (CA) resists has been known since the
introduction of DUV lithography into manufacturing over twenty years ago [1,2]. In this approach a negative tone image
is produced using an aqueous base developable positive tone resist developed in an organic solvent. Recently there has
been an increased interest in negative tone imaging due to superior performance for specific masking levels such as
narrow trenches and contact holes [3].
Negative tone imaging of this type is based on differences in the polarity between the exposed and unexposed regions of
the resist film. The dissolution contrast can be optimized by selecting a solvent with the proper match of solubility
parameters (polarity, hydrogen bonding and dispersion) to attain good solubility of the relatively nonpolar unexposed
resist and poor solubility of the deprotected acidic exposed film. Another approach is to tune the properties of the resist
polymer for a given solvent, creating a new optimized resist. We have explored a third methodology to achieve a high
contrast solvent developable system without a need to modify resist or solvent. In this report we describe a process that
exploits the differences in solubility between ionic and organic materials. In this method an ionic species is introduced
into the resist film following post-exposure bake to alter the polarity in such way that the resist contrast can be improved
in organic solvent development. We describe processes using pre-rinses and developers containing salts. Lithographic
response, characterized using contrast curves and imaging, is presented for a variety of resist platforms. We show
evidence for ionic incorporation into the resist film using SIMS, XPS, QCM and FTIR characterization. We demonstrate
the practical applicability of this method to 248nm, 193nm, e-beam and EUV exposures.
Carbon rich hard mask underlayer (UL) material deposition has become inevitable process in all advanced lithography applications. UL processes which include chemical vapor deposition (CVD) and spin-on UL play a very important role for pattern transfer from patterned thin photoresist to the substrate. UL materials must satisfy several requirements, which have become more demanding with device shrinkage and increasing device complexity (FinFET, 3D integration). The most important properties of next generation UL materials are superior wiggle resistance, etch controllability, thermal resistance, planarization, and gap filling performance. In particular, planarization and gap fill properties of UL material for application on topo-patterned substrate are receiving much attention recently. CVD processes generally give better wiggle performance and thermal resistance, but poorer planarization and gap filling performance than spin-on UL processes. In addition, Cost of Ownership (CoO) of CVD process is higher than that of a spin-on UL process. Therefore spin-on organic hard mask (OHM) process has been investigated as an attractive alternative to CVD processing. In this paper, we focus on an investigation of key properties of spin-on UL materials for achieving good planarity and gap filling performance on topo-patterned substrate. Various material properties such as solution viscosity, glass transition temperature (Tg), and film shrinkage ratio were evaluated and correlations between these properties and planarization were discussed.
Resist materials rely on solubility differences between the exposed and unexposed areas to create the
desired image. Most negative-tone resists achieve the solubility difference by crosslinking the exposed area
causing it to be insoluble in developer. The negative tone resist studied here is a high sensitivity negativetone
resist that relies on polarity switching, similar to a positive-tone mechanism, but where the exposed
area is insoluble in aqueous developer resulting in a negative-tone image. During mask evaluation for 14nm
optical technology applications of the studied non-cross linking (polarity switching) resist, 1 - 5 μm size
blob-like defects were found in large numbers under certain exposure conditions. This paper will describe
the process and methodologies used to investigate these blob defects.
Line Edge Roughness (LER) continues to be a serious problem for high resolution 193 nm (ArF), E-beam and EUV resists despite years of research. Changes to the resist formulations, the use of low molecular weight (MW) materials, such as molecular glass resists, and special developers have all been used in attempts to minimize LER. In addition, much recent work has focused on post development processes such as rinses, special coatings and thermal treatments to reduce roughness. However there remains a lack of understanding of the origins of LER. Recently researchers have described interesting results based on the use of in situ high speed AFM to characterize LER during development.1 In this report we describe a complementary technique wherein the evolution of the roughness of the resist line is measured at different times during the development process. This is accomplished by using a specially designed flow cell 2-5 to control the developer contact time for a series of identically patterned fields and measuring the partially developed patterns with scanning electron microscopy (SEM). We will describe the results for different resist chemistries at 248 nm (KrF). In one aspect of this study, we examine resists that have been patterned at different aerial image contrast (AIC) to systematically probe its effect on LER 6 for a given resist. We intend to extend this work to different exposure systems including 193 nm, EUV and electron-beam.
In order to meet the challenging patterning requirements of the 14 nm node, the semiconductor industry has
implemented use of negative tone develop (NTD) and other tone inversion techniques on wafer to enable use of bright field masks which provide an improved lithography process window.1,2,3 Due to e-beam write time and mask pattern fidelity requirements, the increased use of bright field masks means that mask makers must focus on improving the performance of their negative tone chemically amplified resist (NCAR) processes. In addition, the move to heavy use of bright field masks is introducing new challenges for mask makers. Bright field masks for 14 nm critical layers are required to have opaque sub-resolution assist features (SRAFs) as small as 50 nm while at the same time having across mask critical dimension uniformity (CDU) of less than 2 nm (3 sigma) to meet the 2014 ITRS targets.4 Achieving these specifications is particularly difficult for bright field contact and via level masks.
This paper will survey the performance requirements for NCAR resists for building 14 nm critical level masks. As part of this survey, the results of current commercially available and development NCAR resists will be compared. The study will focus on key elements of the resist process pertaining to line edge roughness, pattern fidelity, minimum feature size, and critical dimension control through density with differences in resist type, sensitivity, and thickness. In addition, use of a novel flow cell test apparatus for detailed study of the develop loading performance of the NCAR resists will be described. Data showing the current capability of these NCAR materials as well as remaining 14 nm node performance gaps and issues will be presented.
Electron beam resists develop a surface potential during exposure that can lead to image placement errors of up to several nanometers [1] and cause poor CD uniformity and image quality. To address this problem, we have formulated a conductive polymer that can be coated onto the resist. Our conductive discharge layer (CDL) is water soluble and it is easily removed during subsequent processing steps. We have established that our material has low enough resistance for full charge dissipation during e-beam exposure and have carried out extensive tests to evaluate the impact of the layer on lithographic performance. We will report these findings, which include measurements of the effect of the CDL application on resist resolution, contrast, speed, and roughness on both wafer and on mask.
Extreme ultraviolet (EUV) mask fabrication faces many unique challenges, including more stringent line edge roughness
(LER) requirements. EUV mask absorber LER will need to be reduced to reliably meet the 2013 International Roadmap
for Semiconductors line width roughness target of 3.3 nm. This paper will focus on evaluating resists modified and
deployed specifically to reduce LER on EUV masks. Masks will be built, and the final mask absorber LER reported
considering multiple imaging and analysis techniques. An assessment of best methods for mask LER analysis will be
provided and used to judge resist performance.
Electron beam resists develop a surface potential during exposure, which can lead to image placement
errors of up to several nanometers [1] and result in poor CD uniformity and image quality. To address this
problem, we have synthesized a conductive polymer that can be coated onto a resist. Our conductive
discharge layer (CDL) is water-soluble and is easily removed during subsequent processing steps.
Having established that our material has a low enough resistance for full charge dissipation, we have carried
out extensive tests to evaluate the impact of the layer on lithographic performance. We will report these
findings, which include measurements of the effect of the CDL on the resolution, roughness, and speed of
the resist.
Semiconductor manufacturing technology is currently undergoing a transformation from immersion
photolithography to double patterning or EUV technology. The resultant resist dimensional size and height shrinks will
require improved pattern transfer techniques and materials.
Underlayer (UL) processes which include chemical vapor deposition (CVD) and spin-on application play a very
important role in various chip manufacturing integration schemes. A pattern wiggling problem during substrate etch has
arisen as a critical issue when pattern dimensions shrink. CVD processes have shown better pattern transfer performance
than spin-on processes but at higher cost and process complexity along with difficulty in obtaining planarization and
good gap fill. Thus spin-on process development has received increased attention recently as an attractive alternative to
CVD processing.
In this work we focus on elucidating the mechanism of UL wiggling and have synthesized materials that address
several hypothesized mechanisms of failure: hydrogen content, modulus, film density, charge control unit type and
thermal resistance. UL materials with high thermal resistance additionally provide the ability to expand the applicability
of spin-on approaches. Material properties and wiggle failure test results will be discussed.
KEYWORDS: Dielectrics, Electron beam lithography, Lithography, Photomasks, Silicon, Scanning electron microscopy, Scanners, Copper, Back end of line, Optical lithography
Increasing complexity and manufacturing costs, along with the fundamental limits of planar CMOS devices, threaten to
slow down the historical pace of progress in the semiconductor industry. We have proposed and demonstrated proof-of-concept
of a simple and low-cost way to fabricate dual-damascene copper (Cu) on-chip interconnect or Back-End-Of-the-
Line (BEOL) structures using a novel multifunctional on-chip electrical insulator called a photo-patternable low
dielectric constant (low-κ) dielectric (PPLK) material [Q. Lin, et al, Proc. SPIE, 2010]. This demonstration was
accomplished with a silsesquioxane-based (SiCOH), κ=2.7 material which is compatible with 248 nm optical
lithography.
In this paper, we report on the extension of the photo-patternable low-κ concept to the ultra-low-κ (κ<2.5) regime and
resolution down well below 100 nm with 193 nm lithography as well as e-beam lithography. We have accomplished this
demonstration using the same silsesquioxane-based (SiCOH) material platform as that of the 248 nm photo-patternable
low-κ materials. The 193 nm photo-patternable low-κ materials possess dielectric constants below 2.5 and are able to
resolve 100 nm half-pith line/space features with dry 193 nm single exposure lithography. The resolution of photopatternable
low-κ materials can be pushed down to 40 nm half-pith line and space features with a line-edge-roughness
less than 3.0 nm with e-beam lithography.
One of the most promising resist design strategies for the development of high resolution materials for EUV
lithography is the PAG anion-bound polymer approach. We have published several reports in the past
few years on the structure/property relationships of anion bound PAG resist polymers. This paper will
focus on relative performance of novel bound PAG polymers in EUV and electron beam lithographies. We
will analyze the performance characteristics of a series of well characterized bound PAG resist polymers
using several polymerizable PAG monomers. Due to the limited access to EUV exposure tools, we
analyzed the initial lithographic performance with electron beam lithography for improved cycles of
learning. We have found several examples of poor correlation between EUV and e-beam (EB) lithography
results. We will offer rational for the difference in performance, with the goal of improved insight into both
EB and EUV resist design.
Molecular glass resists have gained attention for the past decade as a potential platform
for high resolution lithography. Several molecular resist materials based on the
calix[4]resorcinarene system have been developed. Though this molecular system is very
versatile, there are several challenges with the synthesis and processing of these
materials. The difficulty to synthesize a monodipserse unit, the poor solubility in casting
solvents and incompatibility with conventional developer are some noted challenges. We
have addressed these issues by designing a new calix[4]resorcinarene resist material with
hexafluro alcohol (HFA) units. The resist platform has been evaluated with e-beam and
EUV lithography.
Classical electron-beam resists such as poly(methyl methacrylate) (PMMA) and Nippon Zeon's ZEP function as high
resolution and low roughness positive resists on the basis of radiation induced main chain scission to reduce the
molecular weight while chemical amplification resists utilized in device manufacturing function on the basis of acidcatalyzed
deprotection to change the polarity. In an attempt to increase the resolution and reduce the line roughness of
chemical amplification resists, we prepared copolymers that undergo radiation induced main chain scission and acidcatalyzed
deprotection. In another word, we wanted to increase the sensitivity of the PMMA resist by incorporating the
acid-catalyzed deprotection mechanism in polymers that undergo main chain scission, maintaining the high resolution
and low roughness of PMMA. To synthesize such hybrid resist polymers, we selected α-substituted acrylates and α-
substituted styrenes. The former included methyl methacrylate (MMA), t-butyl methacrylate (TBMA), methyl α-
fluoroacrylate (MFA), t-butyl α-fluoroacrylate (TBFA), and t-butyl α-trifluoromethylacrylate (TBTFMA) and the latter
α-methylstyrene (αMEST), α-methyleneindane (αMEIN), and α-methylenetetralin (αMETL). The α-substituted tbutyl
acrylic esters were copolymerized with the methyl esters and also with α-substituted styrenic monomers using 2,
2'-azobis(isobutyronitrile) (AIBN). Hybrid resists were formulated by adding a photochemical acid generator and a
base quencher to the copolymers and developers were selected by studying the dissolution behavior of unexposed and
254 nm exposed resist films using a quartz crystal microbalance (QCM). In addition to the difference in the imaging
mechanism, PMMA and ZEP differ from the chemical amplification resists in developers; organic solvent vs. aqueous
base. We were interested in looking also into the influence of the developer on the lithographic performance.
Contrast curves were generated by exposing the resist films to 100 keV electron beams and by changing the
postexposure bake temperature (PEB) on a thermal gradient hot plate (TGP). The resists were imaged on our Leica 100
keV electron-beam system and line roughness was measured.
We report here, new non-acetal containing low bake (PEB < 100° C ) resists that are suitable for immersion
lithography. These resists are based on novel low activation energy (low-Ea) tertiary ester protecting
groups. One major obstacle to imaging in the sub-50 nm regime using chemically amplified resists is the
diminished image integrity in the pattern ("image blur") due to photo-generated acid diffusion into
unexposed regions. Low processing temperatures are predicted to decrease the degree of photoacid
diffusion and, in turn, decrease the image blur. Even though many low bake resist compositions have
previously been reported, they are all based on acetal/ketal protecting groups. Unfortunately, these
materials require a stoichiometric amount of water for the photoacid-catalyzed deprotection reaction to
proceed. It is usually assumed that the water for the reaction comes from the environment in the bake
station. However, fluctuations in humidity could affect the performance of the resist. Furthermore,
acetal/ketal-based resists generally lack storage stability. For these reasons, acetal/ketal-based resists did
not receive widespread acceptance in the lithography community. With the introduction of water based
immersion lithography, acetal/ketal-based resists are expected to have further performance difficulties.
Therefore, we targeted the development of new "low blur" resists for 193nm lithography that do not
contain acetal/ketal protecting groups.
Efficient conversion of electrical to optical energy in organic light-emitting diodes (OLEDs) depends on balancing the flux of holes injected at the anode with that of electrons at the cathode. In this paper, we discuss several concepts related to optimizing the power efficiency of OLEDs, and put them in the context of analytic and numerical models for OLED operation. A simple argument is used to relate the charge injection rate from each electrode to measurable properties of the organic layer, deriving he equivalent of the Richardson-Dushman equation for the metal- organic interface. We discuss the role of charge density in dictating the importance of both space charge effects and recombination. These ideas are illustrated with experimental data form device structures which exemplify the various types of behavior predicted.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.