There is a growing interest in new spin on metal oxide hard mask materials for advanced patterning solutions both in BEOL and FEOL processing. Understanding how these materials respond to plasma conditions may create a competitive advantage. In this study patterning development was done for two challenging FEOL applications where the traditional Si based films were replaced by EMD spin on metal oxides, which acted as highly selective hard masks. The biggest advantage of metal oxide hard masks for advanced patterning lays in the process window improvement at lower or similar cost compared to other existing solutions.
Metal oxide or metal nitride films are used as hard mask materials in semiconductor industry for patterning purposes due to their excellent etch resistances against the plasma etches. Chemical vapor deposition (CVD) or atomic layer deposition (ALD) techniques are usually used to deposit the metal containing materials on substrates or underlying films, which uses specialized equipment and can lead to high cost-of-ownership and low throughput. We have reported novel spin-on coatings that provide simple and cost effective method to generate metal oxide films possessing good etch selectivity and can be removed by chemical agents. In this paper, new spin-on Al oxide and Zr oxide hard mask formulations are reported. The new metal oxide formulations provide higher metal content compared to previously reported material of specific metal oxides under similar processing conditions. These metal oxide films demonstrate ultra-high etch selectivity and good pattern transfer capability. The cured films can be removed by various chemical agents such as developer, solvents or wet etchants/strippers commonly used in the fab environment. With high metal MHM material as an underlayer, the pattern transfer process is simplified by reducing the number of layers in the stack and the size of the nano structure is minimized by replacement of a thicker film ACL. Therefore, these novel AZ® spinon metal oxide hard mask materials can potentially be used to replace any CVD or ALD metal, metal oxide, metal nitride or spin-on silicon-containing hard mask films in 193 nm or EUV process.
It is well known that metal oxide films are useful as hard mask material in semiconductor industry for their excellent etch resistance against plasma etches. In the advanced lithography processes, in addition to good etch resistance, they also need to possess good wet removability, fill capability, in high aspect ratio contacts or trenches. Conventional metal containing materials can be applied by chemical vapor deposition (CVD) or atomic layer deposition (ALD). Films derived from these techniques have difficulty in controlling wet etch, have low throughput and need special equipment. This leads to high costs. Therefore it is desirable to develop simple spin-on coating materials to generate metal oxide hard masks that have good trench or via filling performances using spin track friendly processing conditions. In this report, novel spin-on type inorganic formulations providing Ti, W, Hf and Zr oxide hard masks will be described. The new materials have demonstrated high etch selectivity, good filling performances, wet removal capability, low trace metals and good shelf-life stability. These novel AZ® Spin-on metal hard mask formulations can be used in several new applications and can potentially replace any metal, metal oxide, metal nitride or silicon-containing hard mask films currently deposited using CVD process in the semiconductor manufacturing process.
Spin-on-carbon (SOC) hard mask is useful for multilayer lithography process because of its high etch resistance, low cost of ownership, low defectivity, high alignment accuracy, good gap filling and planarization for topography. SOC is a high carbon containing polymer solution and as a coating material, the polymers need to be soluble in organic solvent and insoluble after curing for coating upper layer materials. High carbon content (>80%) of SOC is very important for good etch resistance. As the semiconductor industry is moving to 2X nm node and beyond, further improvement of SOC properties mentioned above is required to achieve higher resolution. We synthesized a series of novel monomers and high carbon polymers applicable for SOC applications of advanced nodes. The optimized SOC was a PGMEA based formulation, had high carbon content 90%, excellent filling/leveling properties, and adequate etching properties applicable to trilayer process. The SOC successfully transferred patterns from resist into substrate and the SOC patterns did not show deformation or wiggling down to CD 40nm. This paper describes some of the SOC polymer chemistry and the performance of an optimized SOC formulation.
Since the critical dimensions in integrated circuit (IC) device fabrication continue to shrink below 32 nm, multilayer stacks with alternating etch selectivities are required for successful pattern transfer from the exposed photoresist to the substrate. Inorganic resist underlayer materials are used as hard masks in reactive ion etching (RIE) with oxidative gases. The conventional silicon hardmask has demonstrated good reflectivity control and reasonable etch selectivity. However, some issues such as the rework of trilayer stacks and cleaning of oxide residue by wet chemistry are challenging problems for manufacturability. The present work reveals novel spin-on underlayer materials containing significant amounts of metal oxides in the film after baking at normal processing conditions. Such an inorganic metal hardmask (MHM) has excellent etch selectivity in plasma etch processes of the trilayer stack. The composition has good long term shelf life and pot life stability based on solution LPC analysis and wafer defect studies, respectively. The material absorbs DUV wavelengths and can be used as a spin-on inorganic or hybrid antireflective coating to control substrate reflectivity under DUV exposure of photoresist. Some of these metal-containing materials can be used as an underlayer in EUV lithography to significantly enhance photospeed. Specific metal hard masks are also developed for via or trench filling applications in IRT processes. The materials have shown good coating and lithography performance with a film thicknesses as low as 10 nm under ArF dry or immersion conditions. In addition, the metal oxide films or residues can be partially or completely removed by using various wet-etching solutions at ambient temperature.
Image reversal trilayer (IRT) combines three lithographic patterning enhancement approaches: image reversal, spin on
hard masks, and shrink for recess types of features. With IRT, photoresist imaging is done directly on top of the carbon
underlayer. Thick IRT-Carbon Hard Masks (CHM) films provide effective antireflection with high NA lithography and
are more etch resistant than common photoresist. IRT-Silicon Hard Masks (SiHM) can be coated over the resist patterns
in the lithography track. IRT etching reverses the resist pattern into the IRT-SiHM and transfers this image to the IRTCHM.
The recessed patterns in the IRT-CHM are smaller than the CD of the photoresist feature from an inherent
shrinking capability of the IRT-SiHM.
Continuous improvements to both IRT-SiHM and IRT-CHM have been made. Silicon contents in IRT-SiHM have been
pushed as high as possible while not impacting other important properties such as stability, coating quality and resist
compatibility. Newer polysiloxane IRT-SiHM no longer require resist freezing prior to coating. Carbon contents in IRTCHM
have been pushed as high as possible while maintaining solubility and a low absorption which is important when
resist imaging is done directly on top of the IRT-CHM.
Feasibility of this image reversal trilayer process was previously demonstrated on L/S and pillar gratings. Recent work
focused on nonsymmetrical 2D gratings and simultaneous patterning of L/S gratings at different pattern densities.
Particular emphasis is given to pattern density effects which are applicable to any top-coating image reversal process.
This paper describes the lithography, pattern transfer process and 2nd generation hard mask materials developed for IRT
processing.
Trilayer stacks with alternating etch selectivity were developed and extensively investigated
for high NA immersion lithography at 32nm node and beyond. The conveyance of pattern transfer
function from photoresist to Si-containing bottom anti-reflective coating (Si-BARC) and carbonrich
underlayer hard-mask (UL) elegantly solved the small etch budget issue for ultra-thin
photoresists in immersion lithography. However, due to the hybrid nature of Si-BARC, many
different behaviors were observed in comparison to conventional BARC. Lithographic
performance, stability, and reworkability were among the most challenging issues for trilayer
scheme.
Despite of the rapid improvement in lithographic performance and stability of trilayer
materials reported by several papers, the rework and cleaning of trilayer materials by wet chemistry
remained a challenging problem for manufacturability. The dual function requirement of reflection
control and pattern transfer (i.e. hard-masking) for spin-on Si-BARC mandates hybrid materials.
Si-BARC containing both organic moiety and inorganic backbone were extensively studied and
demonstrated excellent performance. However, the hybrid nature of Si-BARC necessitates the
revisit of different wet chemistries and process adjustment is essential to achieve desirable results.
In addition, the similarity in chemical structures between Si-BARC and low-κ dielectrics demands
subtle rework differentiation by wet chemistry from a chemistry point of view.
In our development, we strived to identify rework solutions for trilayer materials in both
front-end-of-line (FEOL) and back-end-of-line (BEOL) applications. Rework solutions including
diluted HF, Piranha, and low-κ compatible strippers were extensively investigated. The
optimization of solution mixture ratios and processing conditions was systematically studied.
Thorough defect inspection after rework was performed to ensure the readiness for
manufacturability. Extensive Piranha rework study on stack wafers and monitor wafers were
carried out and excellent results are reported.
Trilayer stacks with alternating etch selectivity were developed and extensively investigated
for high NA immersion lithography at 32nm node and beyond. This paper discusses the
fundamental aspects of the Si-containing BARC (Si-BARC) materials with ultra-high silicon
content and carbon-rich underlayers that we developed. Designing of materials at a molecular level
is presented. It was demonstrated that this fundamental understanding assisted in achieving
satisfactory shelf life and excellent coating defect results.
Prolith® simulations using trilayer stacks showed superior reflectivity control for hyper-NA
immersion lithography. The impact of high incident angles on substrate reflectivity was analyzed
and this paper demonstrated that trilayer scheme provides wider process windows and is more
tolerant to topography than conventional single layer BARC. Extensive resist compatibility
investigation was conducted and the root causes for poor lithography results were investigated.
Excellent 45nm dense lines performance employing the spin-on trilayer stack on a 1.2 NA
immersion scanner is reported. In addition, pattern transfers were successfully carried out and the
Si-BARC with high silicon content demonstrated outstanding masking property. In comparison to
the theoretical %Si values, better correlation with etch selectivity was observed with
experimental %Si. Furthermore, this paper addresses the wet rework of trilayer materials and
results using Piranha rework are presented. Clean 12in wafers were obtained after reworking
trilayer stacks, as evidenced by defect analysis.
Stanley F. Wanat, Robert R. Plass, and M. Dalil Rahman
AZ Electronic Materials USA Corporation, Branchburg, New Jersey 08876
Novolak resins have had a significant impact on modern life, in general, and more specifically, on photolithography and the microelectronic market in particular. Since their commercialization around 1910, they have found a wide variety of uses. With the switch from solvent developable negative photoresists to the base soluble novolak/diazonaphthoquinone systems, the growth of the resist market has skyrocketed. Successive generations of higher quality resists required refinements in the synthesis, fractionation and purification of the novolak resins used in making those resists. The use of stabilization techniques and continuous processing methods for the preparation of novolak resins and the resists made with them are discussed.
Spin-on trilayer materials are increasingly being integrated in high density microfabrication that use high NA ArF
lithography due to dwindling photoresist film thicknesses, lower integration cost and reduced complexity compared to
analogous CVD stacks. To guide our development in spin-on trilayer materials we have established etch conditions on an
ISM etcher for pattern transfer through trilayer hard masks. We report here a range of etch process variables and their
impact on after-etch profiles and etch selectivity with AZ trilayer hard mask materials. Trilayer pattern transfer is
demonstrated using 1st and 2nd minimum stacks with various pattern types. Etch recipes are then applied to blanket
coated wafers to make comparisons between etch selectivities derived from patterned and blanket coated wafers.
The dominant current 193 nm photoresist platform is based on adamantane derivatives. This paper reports on the use of
derivatives of diamantane, the next higher homolog of adamantane, in the diamondoid series, as monomers in
photoresists. Due to their low Ohnishi number and incremental structural parameter (ISP), such molecules are expected
to enhance dry etch stability when incorporated into polymers for resist applications. Starting from the diamantane
parent, cleavable and non-cleavable acrylate/methacrylate derivatives of diamantane were obtained using similar
chemical steps as for adamantane derivatization. This paper reports on the lithographic and etch performance obtained
with a number of diamantane-containing monomers, such as 9-hydroxy-4-diamantyl methacrylate (HDiMA), 2-ethyl-2-
diamantyl methacrylate (EDiMA), and 2-methyl-2-diamantyl methacrylate (MDiMA). The etch advantage, dry and wet
lithographic performance of some of the polymers obtained from these diamantane-containing polymers are discussed.
New challenges face ArF bottom antireflection coatings (BARCs) with the implementation
of high NA lithography and the concurrent increase use of spin-on hard masks. To achieve superior
reflectivity control with high NA at least two semi-transparent ARC layers, with distinct optical
indices, are necessary to effectively lower substrate reflectivity through a full range of incident
angles. To achieve successful pattern transfer, these layers in conjunction with the organic resist,
should be stacked with an alternating elemental composition to amplify vertical resolution during
etch. This will circumvent the inherent low etch resistance of ArF resist and the decreasing film
thicknesses that accompanies increasing NA. Thus, incorporating hard mask properties and
antireflection properties in the same two layer system facilitates pattern transfer as a whole rather
than just enhancing lithography. As with any material expected to exhibit multiple roles there is a
delicate balance between optimizing materials with respect to one of its roles while not impairing its
other roles. We will discuss some of these conflicts and present Si-BARCs and carbon rich
underlayers which aim to balance these conflicts. In this paper we will explore simulations aimed at
finding the best film thicknesses and optical indices, etch rate selectivity, and lithographic
performance of high silicon content and high carbon content BARC materials designed to meet the
demands of both high NA lithography and trilayer processing.
193 nm immersion lithography is rapidly moving towards industrial application, and an increasing
number of tools are being installed worldwide, all of which will require immersion-capable
photoresists to be available. At the same time, existing 193 nm processes are being ramped up using
dry lithography. In this situation, it would be highly advantageous to have a single 193 nm resist that
can be used under both dry and wet conditions, at least in the initial stages of 45nm node process
development. It has been shown by a number of studies that the dominant (meth)acrylate platform of
193 nm dry lithography is in principle capable of being ported to immersion lithography, however, it
has been an open question whether a single resist formulation can be optimized for dry and wet
exposures simultaneously.
For such a dry/wet crossover resist to be successful, it will need to make very few
compromises in terms of performance. In particular, the resist should have similar LER/LWR,
acceptable process window and controlled defects under wet and dry exposure conditions.
Additionally, leaching should be at or below specifications, preferably without but at very least with
the use of a top protective coat. In this paper, we will present the performance of resists under wet
and dry conditions and report on the feasibility of such crossover resists. Available results so far
indicate that it is possible to design such resists at least for L/S applications. Detailed data on
lithographic performance under wet and dry conditions will be presented for a prototype dry/wet
crossover L/S resist.
A high performance 193 nm resist has been developed from a novel hybrid copolymer based on a cycloolefin-maleic anhydride and methacrylate (COMA/Methacrylate) polymer system. A variety of copolymers have been synthesized from t-butyl norbornene carboxylate (BNC), t-butyl tetracyclo[4.4.0.1. 2,617,10] dodec-8-ene-3-carboxylate (TCDBC), t-butoxycarbonylmethyl tetracyclo[4.4.0.1.2,617,10]dodec-8-ene-3-carboxylate (BTCDC), and 5-[2-trifluoromethyl-1,1,1-trifluoro-2-hydroxypropyl]-2-norbornene (F1) and maleic anhydride (MA). The effect of the monomers and the ratio of monomers in the copolymer on lithographic performance studied. This paper will report the chemistry of the polymer platform and relative advantages and disadvantages of having certain monomers in terms of lithographic performance and line edge roughness, and post exposure bake sensitivity.
KEYWORDS: Line edge roughness, Line width roughness, Polymers, Semiconducting wafers, Lithography, Transistors, Diffusion, Photoresist processing, Critical dimension metrology, Control systems
We will give an account of our investigation on structure property relationships of amines with regards to line width roughness (LWR) and line edge roughness (LER) of a 193 nm alicyclic-acrylate resist. Specifically, we have looked at basicity, molar volume and logD as factors which may have an influence of roughness of 80 nm 1:1 L/S features. For relatively hydrophobic amines (Log D > -1), the lower the hydrophilicity at acidic pH the greater the LER and LWR becomes. Specifically, in this range of Log D, more hydrophobic larger amines, with higher basicity, tend to give worse L/S feature roughness. For amines which are more hydrophilic, the relationship becomes more complex with some amines giving a lower LER while others do not. This appears to be predicated on a delicate balance between basicity, hydrophilicy and size.
This paper introduces high performing contact hole resist targeting 65 nm node and below IC applications. Both 80 nm and 100 nm contact hole performance are evaluated under optimized condition by ProlithTM simulations and the advantage of the shrinking technique (RELACSTM) is discussed for 65 nm node. The functionality of 193 nm polymers and the influence of resist components on lithographic performance are described with experimental design. The optimized resist, AZ® AX2050P is versatile in lithographic performance with large process window, excellent resist profile, good contact circularity and sidewall roughness. Its unusual PEB sensitivity property, resist pattern thermal flow behavior and performance with RELACSTM material are also reported. AZ® AXTM2050P has a high resolution combined with a large depth of focus and an iso-dense overlap window with RELACSTM R602 [85 nm CD (NA 0.85) DOF 0.30 μm @ Exposure latitude 8%].
Line-edge roughness (LER) continues to be one of the biggest challenges as the CD size shrinks down to sub 100 nm. It is shown that resist components as well as illumination conditions play a big role. Influence of resist components in both 248 and 193nm chemically amplified resist formulations has been reported but the root cause is not fully understood and may be platform or even specific formulation dependent. This paper attempts to tackle the issue from the processing side. Effects of a simple hard bake process on the LER were studied. In the hard bake process, a given resist pattern was typically baked close to the glass-transition temperature after the development process. LER improved dramatically due to melting down of the rough surface. However, the wall angle of the edge lines also started to degrade at the optimum hard bake temperature. Studies on the effects of polymer Tg, hard bake temperature and time and the issues of the process are discussed.
Keeping post exposure bake (PEB) sensitivity low has become one of the most crucial factors for implementing the 193nm resist process into mass production. In a previous report, we have demonstrated that the nature of the photo acid generator (PAG) has a strong effect on the PEB sensitivity of 193 resists. Based on our findings, we decided to extend our studies to the other important resist components, such as polymers prepared with various monomer compositions, and casting solvents. Also, in an effort to investigate whether PEB sensitivity can be reduced by process optimization, the influence of soft bake and post exposure bake conditions was studied. This paper describes our new findings on some of the important factors that affect the PEB sensitivity of 193 resists.
It is confirmed that strong inhibitors must not only interact with the polymer but also are highly hydrophobic. A proportional relationship was shown between the inhibition and logP of different additives. In general, PAGs also follow this relationship, however triphenylsulfonium PAGs appear to be less effective inhibitors than bis-t-butylphenyliodonium PAGs having the same anions.
The performance of a new class of photoacid generators (PAGs) made from the onium salts of bis(perfluoroalkylsulfonyl)imide and tris(perfluoroalkylsulfonyl)methide anions were studied in 193nm formulations. The lithographic properties such as sensitivity, resolution, pattern profiles, footing, I-D bias and PEB sensitivity were investigated in methacrylate and COMA/methacrylate hybrid type matrix resins. In general the iodonium PAGs were about three times slower than the sulfonium PAGs. Methide and imide PAGs possessing similar fluoroalkylgroups showed comparable performance in terms of exposure latitude, I-D bias. And PEB sensitivity. Compared to the reference PAG, the profiles exhibited T-tops and sum. Among the new PAGs studied bis(perfluorobutanesulfonyl)imide exhibited close performance to that of the reference PAG except for the scum. Details on the exposure results of these PAGs in both methacrylate type and COMA/methacrylate hybrid type polymer based 193nm resist formulations are provided.
In an effort to develop a production-worthy 193-resist, it is important to understand the critical factors that impacts the CD variation during a routine photo lithographic process. A comprehensive investigation was done on factors affecting PEB sensitivity in ArF resist system. The areas of interest are polymer components, PAGs, bases, and photo lithographic process. In order to understand effects of the PAGs on PEB sensitivity, a number of PAGs possessing different types of cations and anions were investigated. Sulfonium type cations and acids with longer alkyl chains were found to be effective in reducing the PEB sensitivity. Influence of lithography process conditions was also studied on the PEB sensitivity. Increasing the soft bake (SB) temperature and decreasing the post exposure bake (PEB) temperature reduced the PEB sensitivity but mostly at the expense of line-edge roughness (LER). This paper presents our findings of the critical factors affecting PEB sensitivity and describes improved lithographic results of an optimized experimental formulation. In addition, delay effects after coating, soft bake, exposure, and post exposure bake (PEB) were also investigated and these results are included.
We have studied 193 nm contact hole resists in view of resist components, process conditions and optical settings. Sidewall roughness was improved by optimizing photoacid generators. Side lobes were eliminated by applying higher post exposure bake temperature or modification of polymers. The influence of optical settings, types of masks and mask bias was discussed with simulation and lithographic results and guidelines for better resolution and iso-dense bias were proposed. The optimized formulation, AZAX1050P has a high resolution combined with a large depth of focus and an iso- dense overlap window (130 nm(NA=0.63) DOF 0.38micrometers Exposure latitude 10%).
Electron beam (e-beam) curing techniques are known to improve etch and CD-SEM stability of 248 and 193nm resists. The effects of three different e-beam curing processes (standard, LT and ESC) on the methacrylate and hybrid type 193nm resists were studied with respect to resin chemistry changes, resist film shrinkage, pattern profiles, etch rates, and CD SEM stability. Both methacrylate and hybrid type 193nm resists lose carbonyl groups from the resins, with possibly a reduction in the free volume leading to improved etch resistance/selectivity. Methacrylate resist films shrink ca. 22-24% and hybrid resist films shrink ca. 23-27%. The LT process shrinks the least compared to the ESC and standard process. The ESC and LT processes were found to stabilize the patterns uniformly compared to the standard process. Etch rate, selectivity and resist surface roughness after etch of both methacrylate and hybrid resists were improved using the e-beam curing process. E-beam curing drastically reduces the CD SEM shrinkage (from ca. 15% to 2- 5%); however, considerable shrinkage occurs during the curing process itself.
The copolymerization reaction between methyl cyanoacrylate (MCA) and a variety of cycloolefins (CO) was investigated. Cycololefin/cyanoacrylate (COCA) copolymers were obtained in good yields and with lithographically interesting molecular weights for all cycoolefins studied. Anionic MCA homopolymerization could be largely suppressed using acetic acid. Based on NMR data, the copolymerization may tend to a 1:1 CO:MCA incorporation ratio but further work with better suppression of the anionic component is needed to confirm this. Lithographic tests on copolymers of appropriately substituted norbornenes and MCA showed semi-dense and isolated line performance down to 90 nm.
A high performance 193 nm resist has been developed from a novel hybrid copolymer based on a cycloolefin-maleic anhydride and methacrylate (COMA/Methacrylate) polymer system. A variety of copolymers have been synthesized from t-butyl norbornene carboxylate (BNC), t-butyl tetracyclo [4.4.0.1.2,617,10]dodec-8-ene-3-carboxylate (BTCDC), and 5-[2-trifluoromethyl-2,1,1-trifluoro-2-hydroxypropyl]-2- norbornene (F1) with different types of methacrylate monomers and maleic anhydride (MA). The effect of the monomers and the ratio of monomers in the copolymer on lithographic performance and etch rate has been studied. Lithographic evaluation of some of these polymers has shown resolution down to 80 nm using conventional 193 nm illumination and standard development conditions, particularly for semi and fully isolated lines. This paper will report the chemistry of the polymer platform and relative advantages of having certain monomers in terms of lithographic performance and line edge roughness.
A novel process for the preparation of hybrid copolymers based on cycloolefin-maleic anhydride and methacrylate (COMA/Methacrylate) monomers has been developed. A variety of copolymers have been synthesized form t-butylnorbonene carboxylate (BNC), hydroxyethylnorbornene carboxylate (HNC), and norbornene carboxylic acid (NC) with different types of methacrylate monomers such as 2-methyl-2-adamantyl- methacrylate(MAdMA), mevalonic lactone methacrylate(MLMA) and maleic anhydride (MA). The effect of the different types of monomers and the ratios of monomers in the copolymer on lithographic performance has been studied. Lithographic evaluation of some of these polymers has shown resolution down to 80nm for semi and fully isolated lines using conventional 193nm illumination and standard development conditions. This paper will report the chemistry of the hybrid polymer platforms and the progress of our effort to develop 193 resist for semi-dense and isolated line applications.
Modern resists require very pure raw materials to insure highest quality images. Many methods have been developed to reduce metals in resins used to make photoresists. Extractive washing techniques and ion exchange methods have been the predominant methods used to reduce metal levels for these critical raw materials. This has been especially important for the novolak resins because of the generally poor quality of the starting materials used to make them and by the nature of the resin isolation steps traditionally used. Both of the commonly used cleaning methods are time consuming and are predominantly batch processes. Based upon techniques developed to efficiently fractionate resins, we have developed a technique for the rapid, continuous reduction of metal contaminants in film forming resin solutions by an extractive method using a double inlet liquid/liquid centrifuge.
CD linewidth change during SEM inspection has been one of the issues encountered in the introduction of 193 nm resists. As a general tendency, the methacrylate resists exhibit faster line width reduction than the cycloolefin- maleic anhydride (COMA) systems; however, other resist components as well as CD SEM settings paly an important role. Based on the exposure time vs. CD loss, the line width slimming (LSW) is found to proceed in three steps, which are assigned as: 1) chemical change of outer resist layer, 2) evaporation of volatiles and 3) bulk chain scission or deprotection. Countermeasures for CD degradation are proposed form both the formulation and process sides. A calculation of e-beam penetration depth suggests that deprotection, chain scission and other reactions occur in the first 20-40nm, and these reaction rates combined with thermal effects determine LWS. The CD SEM measurement method has been improved to minimize e-beam exposure and to spread out the thermal load over a larger period of time. An optimized formulation exhibits less than 0.2% LWS per measurement with the improved CD measurement program.
Antireflective Coatings (A.R.C.'s) are widely used for reducing reflectivity problems in microlithography. As optical lithography pushes towards shorter wavelengths and device CD's shrink, thin film interference effects and reflectivity problems become more critical. Therefore, the use of dyed resist and top or bottom antireflective coating is becoming more prevalent. Severe swings in the intensity of such thin film interference are seen as the exposure wavelength decreases. Bottom antireflective coatings are very effective to suppress reflective notching, standing wave effects, and reduce swing ratio. The use of dyed resists as bottom antireflective coatings for absorption of the light can cause sublimation or diffusion of dye molecules into the adjacent photoresist layer during baking. An experimental top antireflective composition is developed from a water-soluble AquazolR, polymer, with varying molecular weight. The Cauchy coefficients and n and k values were determined for these top antireflective coating compositions. These compositions and the existing commercial product AZR AquatarR (A.R.C.) were evaluated with AZR 7908 and other experimental resists for lithographic performance. The comparative data of the performance enhancement in terms of resolution, DOF, linearity will be discussed.
Resins used in photoresist manufacturing are often relatively expensive once processing steps (fractionation e.g.) and yield losses are factored into the net cost. We have previously reported on the merits of using an economically more attractive fractionation process using a liquid/liquid centrifuge. Further refinements of this method indicate that waste streams could be reduced by recycling the extractant phase and that lower molecular weight fractions removed from the starting resin might be used in making other resist ingredients [speed enhancers, photoactive compound (PAC) backbones e.g.]. Both of these improvements would reduce the overall manufacturing costs of making resist raw materials and the final products made with them.
Cycloolefin/maleic anhydride systems are a favorable approach to dry etch resistant resists for 193 nm lithography. This paper reports on poly(BNC/HNC/NC/MA) tetrapolymers, from t- butylnorbornene carboxylate (BNC), hydroxyethyl-norbornene carboxylate (HNC), norbornene carboxylic acid (NC) and maleic anhydride (MA). It was found that moisture has to be excluded in the synthesis of these systems if reproducible results are to be obtained. Lithographic evaluation of an optimized, modified polymer has shown linear isolated line resolution down to 100 nm using conventional 193 nm illumination. Possible reactions of the alcohol and anhydride moieties are discussed, and the effect of the anhydride unit on polymer absorbance is discussed using succinnic anhydride as a model compound.
This paper reports on the use of trimethyl sulfonium hydroxide as a base additive for 193 nm applications, which is found to stabilize the latent image as well a act as a photodecomposable base. Delay time stability (exposure to post-exposure bake) of formulations consisting of trimethylsulfonium hydroxide is compared to that of a non- photodecomposable base (diethanolamine) in both methacrylate- and cycloolefin-based 193 nm resists. Resist formulations made using the trimethylsulfonium base were stable for more than one hour, while the reference formulation with diethanolamine showed T-top formation within 10 minutes delay time under the same conditions. The trialkylsulfonium hydroxide base additives were found to be photodecomposable by measuring the acid produced upon exposure. Compared to a non- photodecomposable base containing resist, the photodecomposable base containing resist produced more acid in the exposed areas under identical PAG/BASE molar ratios.
In our previous publication we reported an improved process for isolation of novolak resins form phenol-formaldehyde condensation mixtures. The process results in resins having low polydispersity and slow photospeed. Novolak resins were prepared by the same process, employing different ratios of the cresol components. This paper will discuss an experimental design analyzing relationship between cresol component ratios and properties of the resins. The characteristics of the resins and their effect on the lithographic performances as an i-line photoresist composition will also be discussed.
Several novel norbornene carboxylate monomers consisting of isobornyl and alkyl ether chains on the ester groups were synthesized and polymerized with maleic anhydride (MA), t- butylnorbornene carboxylate (BNC), hydroxyethylnorbornene carboxylate (HNC) and norbornene carboxylic acid (NC). These polymers were compared with BNC/HNC/NC/MA tetra-polymers with respect to glass transition temperature (Tg) as well as photoresist performance using a 193 nm exposure tool. It was observed that introduction of these groups decreases the Tg but not to the extent where the polymers can be used as an annealing type resist. The synthesis of these polymers, their characterization, and their lithographic evaluation as 193 resists will be discussed in this paper. Further optimization in terms of final polymer composition as well as resist formulation is on-going in order to fully exploit these monomers for photoresist application.
The need to make well characterized resins consistently is paramount to the preparation of high performance photoresists. Solid resins fractionated by selective precipitation have been separated by ultracentrifugation at varying temperatures. At sufficiently high revolutions per minute, solvents and oligomers are efficiently squeezed out leaving behind polymer with higher average molecular weight and lower dispersity than resins obtained by more common isolation techniques. By controlling isolation conditions, resins with desired dissolution rates could be produced. Lithographic test confirmed that resists properties could effectively be controlled by manipulation of process conditions to isolate resins used in the formulations.
KEYWORDS: Carbon, Lithography, Mercury, Crystals, Solids, Photoresist materials, Picture Archiving and Communication System, Double patterning technology, Control systems, Chemical species
Low polydispersity novolak resins were prepared in a sequential process where low molecular weight (Mw) oligomers were first synthesized in a preliminary stp followed by a second condensation with preformed bis-hydroxymethyl derivatives of phenolic starting materials. Resins prepared in this way were found to have polydispersities comparable to that of fractionated resins made in the standard resin synthetic process. The sequential process afforded higher yields of usable resins since no low Mw fractions were isolated and discarded. In several instances, unique bis- hydroxymethyl phenol monomers or monomer/dimmer mixtures were prepared for use in making the low dispersity resins. These compounds were further condensed with the products of the initially prepared low Mw resins in a second acid catalyzed condensation.
Novolak resins fractionated using a unique method, were compared to resins fractionated with conventional methods. The potential for improving the fractionation/separation process and for making improved or more consistent resist with the resins was identified. Several experimental designs were run to determine optimum conditions needed to achieve better separation. Isolated resins were used to make experimental i-line photoresists which were tested against resists made with the conventional processes.
Among the chemistries/polymers reported for the 193nm photoresist applications, methacrylate copolymers consisting of 2-methyl-2-adamantane methacrylate and mevalonic lactone methacrylate and cycloolefin polymers derived from derivatives of norbornene have shown promising results. We have studied the lithographic properties of these two but different promising chemistries. Both system offer linear resolutions down to 0.13 micrometers using conventional 193 nm illumination and high sensitivity at standard developer conditions. While the methacrylate based system shows best performance on substrates with bottom coats, the cycloolefin-Maleic anhydride alternate copolymer based resists performs well on bare silicon as well as substrates with bottom coats. The etch rates of the methacrylate and cycloolefin based resists were found to be 1.4 and 1.3 times relative to that of KrF resist APEX-E. Further, new polymers consisting of isobornyl and alkyl ether chains on the ester groups of norbornene carboxylate were made in order to decrease the glass-transition temperatures of the norbornene-maleic anhydride type polymers. These results will be included and discussed in detail.
in order to meet customer driven functional criteria for modern i-line resists, formulations have become increasingly more complicated. Often mixtures of both the photoactive compounds and resins are necessary to balance and optimize dissolution inhibition, photosensitivity and resolution. Mixtures of fractionated novolak resins along with low molecular weight (Mw) speed enhancing resin additives were used to attain desired properties. Scumming tendencies increased as the concentration of the low Mw additives increased. Novel resins were synthesized by incorporating fully formed low Mw additives into the synthetic recipes along with the phenolic monomers and formaldehyde. These resins were characterized by gel permeation chromatography, NMR and by functional comparison to traditional formulations. When formulated with small amounts of low Mw additives, photospeeds could be increased while increasing thermal resistance and reducing scumming tendencies. Additional resist performance enhancements were possible when the new resins were fractionated to remove low Mw oligomers and unreacted starting materials. The need for use of small amounts of low Mw speed enhancers to the formulations with the new resins substantiates earlier work on the optimization of resist formulations.
Several low molecular weight polyhydroxy phenolic compounds were used to study their effect on DNQ novolak photoresist compositions. These compounds used with fractionated novolak resins in a positive photoresist composition, tend to improve the photospeed, but in some cases degrades the other characteristics of the resist including resolution and depth of focus. Improvements in performance (photospeed, sidewall angle, resolution, and depth of focus) may depend on the structure of the speed enhancer. Speed enhancers were obtained commercially or synthesized, characterized by HPLC and NMR, and were formulated as i-line resists. The goal of these studies was to find out the relationship between the structure of the speed enhancer and the performance of the resist.
An improved process for isolation of novolak resins from phenol-formaldehyde condensation products has been developed. The process results in resins having low polydispersity and higher photospeed while typical phenol/formaldehyde resin syntheses generate a broad distribution of molecular weight fragments with a wide polydispersity. The novolak resins were characterized by NMR and GPC and were formulated to obtain ultra fast high resolution i-line photoresists. The characteristics of the resins and their effect on lithographic properties as i-line photoresist compositions will be discussed in this paper.
Typical phenol/formaldehyde resin syntheses generate a broad distribution of molecular weight fragments with a wide polydispersity. A process was developed to isolate novolak resin fractions of narrow polydispersity from phenol- formaldehyde condensation products in ethyl lactate without any high temperature distillation. The characterization and the performance of these fractions in i-line photoresist composition are discussed.
Novolak resins are synthesized by a condensation reaction using a mixture of cresylic acids with a formaldehyde source [paraformaldehyde, trioxane or aqueous (37%) formaldehyde] in the presence of an acid catalyst. After the condensation process, the unreacted cresols, water and solvents are removed using a high temperature (approximately 210 degrees Celsius) vacuum (approximately 20 mm Hg) distillation. The resin is isolated as a molten solid or in solvents such as propylene glycol methyl ether acetate, ethyl lactate, or ethoxy ethyl propionate. During this process, molecular weight changes were noticed. The molecular weight changes were attributed to the presence of residual acid catalyst and the high temperature distillation process. In order to minimize the molecular weight changes, an effort has been made in our laboratories to study the effect of acid removal after the condensation process. Novolak resins with consistent molecular weights could be synthesized using the ARAC process. This paper deals with the novel ARAC process, which has made a major impact on consistency of novolak resins and lithographic characteristics of the resist formulations made with them.
It is a well known fact that novolak resin undergoes chemical structure changes using vigorous synthesis conditions, such as under high acid catalyst loading and high temperature during isolation. Under such conditions, the structure of novolak resin is rearranged through quinone methide intermediate. We have also observed that the rearranged novolak resin does not perform well in photolithography. In this paper we discus the alternate isolation procedure, eliminating high temperature vacuum distillation. In this process a typical resin synthesis is performed using cresylic acids, catalyst and a solvent with the addition of formaldehyde over time. At the end of the condensation period, distillation is applied using a sub-surface high forced steam. Distillation is performed until all the unreacted cresols are removed. At this point the temperature is raised up to 140 degrees Celsius, and the vacuum is slowly drawn to 35 mm Hg to remove residual water. After the volatiles have been distilled off, the vacuum is released and a solvent is added to adjust the solid content. We discuss in this paper, the properties of the resin in the application of photolithography.
KEYWORDS: Photoresist materials, Dielectrics, Semiconducting wafers, Electron beams, Electrodes, Polymers, Manufacturing, Capacitance, Picture Archiving and Communication System, Chemical analysis
This paper investigates the dielectric properties and chemical changes occurring in electron beam cured photoresist and how they compare with photoresist cured with standard thermal bake. The material used in the investigation is AZ P4620, a positive tone photoresist based on diazonapthoquinone (DNQ)/novolak chemistry and formulated mostly for thick film applications. The photoresist was spun on silicon wafers and exposed at different doses using an electron beam curing system. The dielectric properties and chemical changes of the exposed films were then evaluated and compared with photoresist films cured with a standard thermal cure. The dielectric properties that were evaluated were dielectric constant and breakdown voltage. The chemical changes were analyzed by FT-IR. For the electron beam cured photoresist, preliminary results indicate that the photoactive compound undergoes both decomposition and crosslinking during the process. The dielectric properties as well as the chemical changes as a function of dose are discussed.
Interactions between diazonaphthoquinone (DNQ)-photoactive compounds (PACs) and phenolic resins with different degrees of ortho, ortho-bonding have been investigated using solid state nitrogen-15 nuclear magnetic resonance (NMR) and vibrational (infrared and Raman) spectroscopic techniques. A specifically nitrogen-15 labeled PAC was synthesized to facilitate solid state nitrogen-15 NMR experimentation, and to resolve ambiguities in vibrational spectra. Comparison of vibrational spectra of the 15N-labeled PAC and its natural abundance 14N analog showed conclusively that Fermi resonance as well as hydrogen-boding resin-PAC interactions influence changes in the out-of-phase diazo stretching region near 2100 cm-1. Solid state nitrogen-15 NMR of the 15N-labeled PAC in poly(p-hydroxystyrene), in a m-cresol 3,5-xylenol novolak, and in a mainly m,p- cresol novolak showed the binding situation in the resins to be diffuse, but quite similar. Although the three resins examined are lithographically very different, the observed nitrogen- 15 shifts were small compared to the broadening of the resonances, and did not show major differences due to ortho, ortho-bonding effects.
Novolak-polyhydroxystyrene copolymers with a high glass transition temperature were synthesized. Copolymers with different compositions (Novolak/PHS ratio) show improved resin characteristics, compared to either novolak or novolak/PHS blend. The novolak-PHS copolymer formation is confirmed by carbon-13 NMR spectroscopy. This technique has provided detailed information on the substitution characteristic of various carbon atoms in the polymer. For example, the carbons bearing hydroxy groups are observed in the range 148-156 ppm and the methylene carbons at 25-36 ppm. The methyl groups on the aromatic ring show chemical shifts at 16 and 25 ppm. Chemical shifts of carbons in various isomers of model compounds have been assigned to respective carbons, which confirms the structure (structure 1) for this copolymer. Novolak-PHS copolymer can be formulated into a high performance and high thermal stability positive photoresist whereas physical blend of novolak and polyhydroxystyrene is not useful. The synthesis of the copolymer and the micro lithographic properties is discussed. The paper discusses comparative data on Novolak-PHS copolymer and novolak/PHS polymer blends.
During the course of investigations into the synthesis of novolak resins for use in the microelectronics industry we have observed the rearrangement of the resin. Deeper investigation of this phenomenon has shown it to be a chain scission which leads to a rearrangement of the novolak structure. A possible mechanism is discussed.
The synthesis and lithographic characterization of the three positional isomers of polyhydroxystyrene is described. Large differences in dissolution rates are found as a function of the position of the hydroxy group, which are explained in terms of intra- versus intermolecular hydrogen bonding and steric shielding of the hydroxy group by the polymer backbone. In plots of log(dissolution rate) versus developer strength, linear plots are observed for the 2- and 4-isomers, whereas for poly(3- hydroxystyrene), a break occurs in the plot, leading to two linear regions. While the 2-hydroxy isomer is too slow and the 4- hydroxy isomer too fast for use in conventional dissolution inhibition systems, the copolymerization of both allows one to choose any dissolution rate between these extremes ('dial a dissolution rate'-copolymers). Determination of the copolymerization parameters of the corresponding 4- and 2- acetoxystyrene precursors by the method of Kelen and Tudos shows the polymerization to be nearly ideal and azeotropic (r1 equals 0.76, r2 equals 0.94). Analysis of the dissolution rates as a function of developer strength according to the Huang-Reiser-Kwei equation shows that the critical concentrations c are a linear function of copolymer composition, whereas the penetration exponents n show a minimum near unity in the region of the 1:1 copolymer, down from the values of 3.2-3.3 observed for all three homopolymers. The 1:1 copolymer shows a dissolution rate comparable to novolak resins, and when formulated into a photoresist together with a diazonaphthoquinone sensitizer resolved 0.4 micrometers features at a dose of 340 mJ/cm2. The thermal flow resistance of the resist was found to be improved over that of novolak resists although not to the degree expected from the increased Tg of the 1:1 copolymer.
The use of i-line lithography for the 16 to 64 Mbit DRAM device generations calls for increased performance of i-line resists. This paper reports on investigations on novel sensitizers for advanced i-line lithography, starting out with a discussion of general design criteria, then discussing methodology and results of a screening phase, and examining in greater detail a small number of selected candidates for which resolution, exposure latitude, and depth-of-focus data were obtained. Finally, a new advanced resist for i-line lithography, AZR 7500, is presented, and its performance is evaluated in terms of the above criteria as well as thermal flow resistance.
The nature and degree of substitution patterns on different ring carbons in novolak (cresol- formaldehyde) resins have been determined by carbon-13 NMR spectroscopy. The acquisition of carbon-13 NMR spectra of novolak resins yielded improved S/N ratio through selective irradiation of protonated carbons while suppressing quaternary carbons. For quantitative estimations, carbon nuclear spin-relaxation rates were measured to ensure that carbon nuclei of interest fully relax after each pulse train. NMR signals from quaternary carbons in the aromatic region have been selectively suppressed employing distortionless enhancement via polarization transfer (DEPT) pulse sequence, thus greatly improving the quantitative estimations. An experimental parameter, Un, where n is the carbon position in the ring, has been defined for chain propagation at any given carbon position. For example, in a pure meta cresol novolak resin, polymerization mainly proceeds via 4,6-disubstitution, and the C2 ortho carbons do not seem to participate in the polymerization process as much as C4 and C6 carbons do. However, in novolak resins prepared by mixing meta and para cresols, the chain propagation step greatly involves C2 carbons ortho to the hydroxyl group in meta cresol. The extent of such involvement can easily be determined relative to a given standard sample. The polymerization preference and differences in chemical structure of various polymers can easily be compared by determining their respective Un parameters. The bridged methylene linkages yield weaker NMR signals compared to those in the pure meta or para cresol resins, and thus, the estimations based on these carbons are only approximate. The experimental parameters as defined in this paper are discussed in relation to the physical and lithographic properties of these polymers.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.