With extreme UV not ready for HVM for the 20nm and 14nm nodes, double patterning options that extend the use of 193nm immersion lithography beyond the optical resolution limits, such as LELE (Litho-Etch-Litho-Etch) and SADP (Self Aligned Double Patterning), are being used for critical layers for these nodes. LELE requires very stringent overlay capability of the optical exposure tool. The spacer scheme of SADP starts with a conformal film of material around the mandrels and etched along the mandrel sidewalls to form patterns with doubled frequency. SADP, while having the advantage of being a self-aligned process, adds a number of process steps and strict control of the mandrel profile is required. In this paper, we will demonstrate a novel technique - ASDP (Anti-Spacer Double Patterning), which uses only spin-on materials to achieve self-aligned double patterning. After initial resist patterning, an Anti-Spacer Generator (ASG) material is coated on the resist pattern to create the developable spacer region. Another layer of material is then coated and processed to generate the second pattern in between the first resist pattern. We were able to define 37.5nm half pitch pattern features using this technique as well as sub-resolution features for an asymmetric pattern. In this paper we will review the capability of the process in terms of CD control and LWR (line width roughness) and discuss the limitations of the process.
Negative photoresist materials for 248 nm (KrF excimer laser) implant applications are of interest to research
and development recently, due to the ever-present demand to shrink lithographically-patterned device dimensions at an
affordable cost. Challenges to developing such a successful resist are the topography of the substrate and subsequent
reflectivity complexities. Substrate reflectivity control, resist profile, and critical dimension (CD) uniformity are critical
issues that must be addressed to enable robust lithography performance at high KrF numerical aperture. The design,
synthesis and characterization of a series of polymers for negative developable bottom anti-reflective coating
(NDBARC) materials suitable for KrF negative implant resists is described.
Pitch-split resist materials have been developed for the fabrication of sub-74 nm pitch semiconductor devices. A
thermal cure method is used to enable patterning of a second layer of resist over the initially formed layer. Process
window, critical dimension uniformity, defectivity and integration with fabricator applications have been explored. A
tone inversion process has been developed to enable the application of pitch split to dark field applications in addition to
standard bright field applications.
Traditional implant layers are becoming increasingly complex in design and continuously pushing
resolution limits lower. In response, developer-soluble bottom anti-reflective coatings (DBARCs) were
introduced to meet these more challenging requirements. These DBARCs excelled over the traditional
combination of single-layer resist and dyed resist/top anti-reflective coating (TARC). DBARCs offered the
resolution and critical dimension (CD) control needed for the increasingly critical implant layers.
Lithographic performance, focusing on CD control over topography and through-pitch behavior,
demonstrated the inherent benefit of the DBARCs over the alternative solutions. Small-space residue
testing showed the benefit of photosensitive (PS) DBARCs for cleanout of sub-100 nm trenches. A study
of improved post-develop residue in various ion-implantation processes validated the use of new DBARC
materials in implant layers.
KEYWORDS: Back end of line, Dielectrics, Etching, Lithography, Reactive ion etching, Photoresist materials, Optical lithography, Semiconducting wafers, Copper, Polishing
A novel back-end-of-line (BEOL) patterning and integration process termed Multi-Level Multiple Exposure
(MLME) technique is herein introduced. The MLME technique simplifies BEOL dual damascene (DD) integration while
simultaneously being applicable to all BEOL levels. It offers a patterning resolution reaching into the sub-100nm region
and improves semiconductor manufacturing cost and throughput. MLME employs a dual-layer imaging stack (via + trench resists) cast onto a customized etch transfer multilayer stack. This process implements a strict litho-litho-etch sequence for transferring the trench- and via-patterns into the dielectric layer. Under the MLME scheme, two imaging
steps (i.e. via- and trench-level patterning) are executed consecutively followed by a dry etch process that transfers the
lithographically-formed patterns into the customized etch transfer multilayer stack and further into the dielectric layer.
The MLME integration scheme not only decreases the number of overall process steps for the full DD BEOL process but
also eliminates several inter-tool wafer exchange sequences as performed in a conventional litho-etch-litho-etch process
flow. All MLME process steps were demonstrated i.e. combined 193nm-dry dual-resist layer MLME via- and trench-lithography,
full pattern transfer of via- and trench-patterns into the dielectric layer using reactive ion etching (RIE), as
well as electroplating and polishing of the DD patterns. This paper provides a detailed description of both post-lithography
steps of the DD process for a DD BEOL structure, i.e. (i) the RIE-pattern transfer process with the customized multilayer stack, and (ii) the metallization process completing the DD process for one BEOL layer.
Furthermore, the integration capabilities of the MLME technique were demonstrated and characterized by generating an
electrically functional via-chain connecting two neighboring BEOL layers fabricated by subsequently applying the MLME approach to both layers. An exhaustive description and evaluation of MLME lithographic patterning is given in an accompanying paper.
As the semiconductor industry approaches smaller and smaller features, applications that previously used top antireflective
coatings have now begun using developer-soluble bottom anti-reflective coatings (BARCs). However, there
are several drawbacks to a wholly developer-soluble system, mainly because many of these systems exhibit isotropic
development, which makes through-pitch and topography performance unsatisfactory. To solve this problem, we have
developed several photosensitive BARC (PS BARC) systems that achieve anisotropic development. One issue with the
PS BARC, as with traditional dry BARCs, is resist compatibility. This effect is compounded with the photosensitive
nature of our materials. The acid diffusion and quenching nature of the resists has been shown to have a significant
effect on the performance of the acid-sensitive PS BARC. Some resists contain a highly diffusive acid that travels to the
PS BARC during the post-exposure bake and aids in clearance. Others show the opposite effect, and the same PS BARC
formulation is not able to clear completely. To address the lack of compatibility and to further improve the PS BARC,
we have developed a solution that properly matches PS BARC and photoresist performance.
Lithographic scaling beyond the 22 nm node requires double patterning techniques to achieve
pitch values below 80nm. The semiconductor industry is focusing on the development of several process
techniques including track-only lithographic processing methods in order to reduce cost, cycle time and
defects. Initial efforts for track-only double expose processes have relied on the use of chemical freeze
materials to prevent inter-mixing of resists, and also by means of thermal curable materials. These two
techniques may be complementary, in the sense that a chemical freeze may be very robust for protection of
exposed regions, while thermal cure systems may provide strong protection of large unexposed areas.
We will describe our results with mainly the thermal-cure double patterning resist materials, and
the application of these materials to the fabrication of sub-80 nm pitch semiconductor structures. We will summarize the process window and defect capability of these materials, for both line/space and via applications.
KEYWORDS: Optical lithography, Einsteinium, Back end of line, Etching, Dielectrics, Semiconducting wafers, Lithography, Photoresist materials, Nanoimprint lithography, Reactive ion etching
In this work, the conventional via-first dual damascene (DD) patterning scheme is replaced by a cost-efficient
Multi-Level Multiple Exposure (MLME) patterning and etching approach. A two-layer positive-tone photoresist stack is
sequentially imaged using 193 nm dry lithography, to produce a DD resist structure that is subsequently transferred into
an auxiliary dual organic underlayer stack, and then further into a dielectric layer. This novel integration approach
eliminates inter-tool wafer exchange sequences as performed in a conventional litho-etch-litho-etch process flow, while
simultaneously being applicable to all back-end-of-the-line (BEOL) levels, ensuring throughput increase. The top and
bottom resist layers are chemically designed in such a way that they feature differential solubility in organic solvents
making it possible to coat the top photoresist onto the bottom resist layer without intermixing to enable a strict litholitho-
etch processing sequence. Independent registration of the via and trench structures in the bottom and top resist
layers is achieved by selective photospeed decoupling of the respective layers, so that the bottom resist is largely
insensitive at nominal resist exposure dose for the top resist. Imaging performance evaluation of the newly introduced
MLME technology includes the resist materials selection process and their required properties (solvent compatibility,
adhesion, photospeed, defectivity and correction of via dose bias due to trench exposure) as well as metrology work.
Image transfer of the patterned DD resist structure into an underlying transfer layer stack and then further into a
dielectric layer using Reactive Ion Etching (RIE) followed by electroplating, polishing and electrical testing was also
thoroughly investigated and is described in detail in an accompanying paper.
As our ability to scale lithographic dimensions via reduction of actinic wavelength and increase of numerical
aperture (NA) comes to an end, we need to find alternative methods of increasing pattern density. Double-Patterning
techniques have attracted widespread interest for enabling further scaling of semiconductor devices. We have developed
DE2 (develop/etch/develop/etch) and DETO (Double-Expose-Track-Optimized) methods for producing pitch-split
patterns capable of supporting 16 and 11-nm node semiconductor devices. The IBM Alliance has established a DETO
baseline in collaboration with KT, TEL, ASML and JSR to evaluate commercially available resist-on-resist systems. In
this paper we will describe our automated engine for characterizing defectivity, line width and overlay performance for
our DETO process.
This study reports on blob defect reduction and process impacts by Acid Rinse System. Blob defects that appear after
develop are a common problem with i-line, KrF, ArF and ArF-immersion resists. Last year we reported Blob defects
were influenced by the develop process and were able to be decreased by improving process. Furthermore we identified
blob defects were caused from alkaline developer and could be reduced by neutralizing Acid Rinse.
In this work, we designed a novel develop process and system that reduced blob defects. We evaluated this system on
the non-topcoat immersion resist. The blob defects on immersion resist were also eliminated by this system but affected
by each resist surface condition. We also evaluated the impacts from Acid rinse for some kinds of patterns and resists,
because we needed to indentify whether there were negatively process impacts.
We reports that Acid Rinse System significantly reduced blob defect counts, and whether influenced other process
impacts. Finally we report the mechanism of the blob defects reduction.
This work discusses the requirements and performance of Honeywell's middle layer material, UVAS, for tri-layer
patterning. UVAS is a high Si content polymer synthesized directly from Si containing starting monomer components.
The monomers are selected to produce a film that meets the requirements as a middle layer for tri-layer patterning (TLP)
and gives us a level of flexibility to adjust the properties of the film to meet the customer's specific photoresist and
patterning requirements. Results of simulations of the substrate reflectance versus numerical aperture, UVAS thickness,
and under layer film are presented. ArF photoresist line profiles and process latitude versus UVAS bake at temperatures
as low as 150ºC are presented and discussed. Immersion lithographic patterning of ArF photoresist line space and contact
hole features will be presented. A sequence of SEM images detailing the plasma etch transfer of line space photoresist
features through the middle and under layer films comprising the TLP film stack will be presented. Excellent etch
selectivity between the UVAS and the organic under layer film exists as no edge erosion or faceting is observed as a
result of the etch process. A detailed study of the impact of a PGMEA solvent photoresist rework process on the
lithographic process window of a TLP film stack was performed with the results indicating that no degradation to the
UVAS film occurs.
In a search for improved resolution and processing latitude for a family of light-sensitive developer-soluble bottom antireflective
coatings (BARCs), the structure of the binder terpolymer was altered by incorporating acid-cleavable
adamantyl methacrylates. Contrast curves and 193-nm microlithography were then used as tools in developing a novel
developer-soluble adamantyl BARC which does not include a photoacid generator (PAG) or quencher, but instead
depends on acid diffusing from the exposed resist for development. This formulation eliminates concern about PAG or
quencher leaching out of the BARC during application of the photoresist. Resolution for a resist A and the new BARC
was 150-nm L/S (1:1) for both 38-nm and 54- to 55-nm BARC thicknesses. Resolution and line shape were comparable
to that of the non-adamantyl control BARC with same resist at 55-nm BARC thickness, with both BARCs giving some
undercutting using an AmphibianTM XIS interferometer for the 193-nm exposures. Light-sensitive adamantyl BARCs
that do require inclusion of a PAG for optimum lithography with resist A are also described in this paper. The series of
developer-soluble adamantyl BARCs were solution and spin-bowl compatible. The 193-nm optical parameters (n and
k) for all adamantyl BARCs were 1.7 and 0.5-0.6, respectively.
Spin-on chemical shrink, reactive ion etch [RIE] shrink and litho-etch-litho-etch [LELE] double patterning have been utilized to produce dense 90 nm pitch, 26 nm bottom CD contacts starting from 65 nm CD, 126 nm diagonal pitch as printed features. Demonstrated lithographic process window, post etch pattern fidelity, CD, and CD uniformity are all suitable to production. In addition, electrical test results shows a comparable defect a ratio vs. a no chemical
shrink baseline.
This work discusses the requirements and performance of Honeywell's middle layer material, UVAS, for trilayer patterning. UVAS is a high Si content polymer synthesized directly from Si containing starting monomer components. The monomers are selected to produce a film that meets the requirements as a middle layer for trilayer patterning and gives us a level of flexibility to adjust the properties of the film to meet the customer's specific photoresist and patterning requirements. Results of simulations of the substrate reflectance versus numerical aperture, UVAS thickness, and under layer film are presented. Immersion lithographic patterning of ArF photoresist line space and contact hole features will be presented. A sequence of SEM images detailing the plasma etch transfer of line space photoresist features through the middle and under layer films comprising the TLP film stack will presented. Excellent etch selectivity between the UVAS and the organic under layer film exists as no edge erosion or faceting is observed as a result of the etch process. The results of simulations of Rsub versus NA, and the thickness of each film comprising a two layer antireflective film stack will also be discussed.
High-refractive-index fluids (HIFs) are being considered to replace water as the immersion fluid in
next generation 193nm immersion scanner. We have demonstrated the attractive optical properties for our HIF
candidates, HIL-001, HIL-203 and HIL-204. Especially, HIL-203 and HIL-204 have higher transmittance
compared to water. In this paper, we describe our latest results on the comparative evaluations including
photo-degradation behavior and lens contamination phenomenon in a flow system. For laser induced fluid
degradation behavior, it was shown the higher initial transmittance resulted in the higher laser durability.
However, the complicated phenomenon was observed for the lens contamination test. That is, HIL-204 with
higher initial transmittance showed higher lens contamination rate than HIL-203. From several analyses, the
complicated behaviors among HILs were speculated to be caused by the different nature of photo-degraded
impurities. In order to control the fluid transmittance change and suppress the lens contamination during
exposure, the refining process was definitely necessary for HIL reuse system. Based on the refining mechanism
and the refining material design, we have developed an appropriate refinement unit named Refine B. This
approach provided us with the result that Refine B could control the change of fluid transmittance and suppress
the lens contamination rate.
This work discusses the development and characterization of Honeywell's middle layer material, UVAS, for trilayer
patterning. The UVAS polymer contains high Si content constructed by polymerizing multiple monomers selected to
produce a film that meets the requirements as a middle layer for trilayer patterning. Results of ArF photoresist patterning
evaluations, plasma and wet etch studies, and photoresist and full stack rework tests will be presented and discussed. ArF
photoresist patterning tests show that UVAS exhibits organic BARC like performance with respect to MEEF (Mask
Error Enhancement Factor), DOF (Depth of Focus) and EL (Exposure Latitude). Shelf life data shows that UVAS
maintains very stable properties even after 6 months storage at room temperature. We will also briefly discuss
investigation of amine or nitrogen-based contaminant blocking by the UVAS middle layer.
Beyond 45nm node processes, ArF hyper-NA immersion lithography systems are an inevitable choice for
obtaining smaller patterns. A hyper-NA, dual BARC system is proposed to achieve low reflectivity. However, the
ability for the resist to ask as a mask is severely challenged because of the increased film thickness associated with a dual
BARC system. In order to obtain enough etch selectivity to the substrate, multi-layer resist processes can be applied.
General multi-layer resist processes uses silicon containing an inorganic spin-on hard mask and an organic spin-on hard
mask with a high carbon content. One of the problems of organic spin-on hard masks is high out-gassing, which can
cause defect issues in mass production. We have developed a new organic hard mask with low out-gassing, good
reflectivity control (< 0.2%) and good etch durability. Gap-filling performance also can be controlled by changing its
fluidity and wettability on the substrate.
High-refractive-index fluids (HIFs) are being considered to replace water as the immersion fluid
in next generation 193nm immersion scanner. At SPIE 2006, we have demonstrated the attractive optical
properties and good imaging performance for our HIF candidates, HIL-001 and HIL-002. In this paper, we
will describe our latest results on the remaining issues for the practical application of HIF candidates, as
well as introduce 3rd generation fluids for the further extension of ArF immersion lithography. In order to
improve the fluid transparency, we have tried two approaches. One is the improvement of transparency for
HIL-001 based on a refining technology and the other is to develop a novel HIF candidate by using
computational chemistry, which is named HIL-203. By passing through a suitable refining unit, HIL-001
can reach a transmittance of >99%/mm, which is as high as water. This new purification method can be
applied to an on-site reuse system. It was also found that the refining unit was very effective to eliminate
the impurities coming from the photo-degradation of HIL, chemical substances contamination under the air
exposure, and leaching of resist components such as photo-acid generator or quencher. We have developed
a new fluid for 3rd generation immersion fluids. It had a higher refractive index than that of HIL-001 or
HIL-203; however, it still falls short of our target value. Additionally, by using a novel design concept, we
have developed a top-coat with high refractive index for HIL immersion lithography, which gave an
appropriate contact angle for scanning exposure.
Over a period of last several years 193 nm immersion lithography from a remote and unlikely possibility
gradually became a reality in many fabrication facilities across the globe and solid candidate for high volume
manufacturing for the next generation technology node. It is being widely understood in the industry that top-coatless
resist approach is a desirable final stage of the immersion process development. However creating low-defect high
performance top-coatless resist materials requires understanding of the fundamental material properties of the top layer,
responsible for leaching suppression, immersion fluid meniscus stability, and in this way enabling high speed low-defect
scanning.
While a lot of progress has been made in implementing specific top coat materials into the process flow, clear
understanding effects of the top coat properties on the lithographic conditions and printing capability is still lacking. This
paper will discuss top coat materials design, properties and functional characteristics in application to novel
fluoroalcohol polymer-based immersion top coat.
We have used our fluoroalcohol based-series designs (titled MVP top coat materials further on in the paper) as a
test vehicle for establishing correlations between top coat performance and its physical and chemical properties including
hydrophobicity, molecular weight/dispersity etc. Effects of polymer-solvent interactions on the contact angle and
characteristics of the top coat material are explored, providing valuable understanding transferable to design of new
generation top coats and top-coatless materials. Our resultant new designs demonstrated excellent lithographic
performance, profiles and low leaching levels with commercially available resist and high receding contact angles,
comparable to the commercial top coat materials.
The combination of immersion lithography and reticle enhancement techniques (RETs) has extended 193nm
lithography into the 45nm node and possibly beyond. In order to fulfill the tight pitch and small critical dimension
requirements of these future technology nodes, the performance of 193nm resist materials needs to further improve. In
this paper, a high performance 193nm photoresist system based on fluorosulfonamide (FSM) is designed and
developed. The FSM group has good transparency at 193nm. Compared to the commonly used hexafluoroalcohol
(HFA) group, the trifluoromethyl sulfonamide (TFSM) functionality has a lower pKa value and contains less fluorine
atoms. Polymers containing the TFSM functionality have exhibited improved dissolution properties and better etch
resistance than their HFA counterparts. Resists based on the FSM-containing polymers have shown superior
lithographic performance for line, trench and contact hole levels under the 45nm node exposure conditions. In
addition, FSM resists have also demonstrated excellent bright field and dark field compatibility and thereby make it
possible to use one resist for both bright field and dark field level applications. The structure, property and lithographic
performance of the FSM resist system are reported.
The focus of this paper is to utilize the acidity of hexafluoroalcohol (HFA) in addressing performance deficiencies associated with current 193nm methacrylate resist materials. In this study, we have designed and developed a variety of HFA pendant methacrylate monomers and the corresponding imaging polymers for ArF lithography. It was shown that typical swelling behavior observed in methacrylate resists can be substantially reduced or eliminated by replacing commonly used multicylcic lactone polar functionalities with acidic HFA side chains. The incorporation of aliphatic spacers between HFA and polymer backbone were found to be more effective than cyclic hindered moieties, in achieving linear dissolution characteristics. The typical poor etch stability associated with fluorine atoms in HFA can be substantially minimized by designing side chains with a combination of appropriate cyclic and aliphatic moieties and fine-tuning the corresponding polymer compositions. PEB sensitivity of high activation energy protecting group (e.g., methyladamentyl group) based methacrylate resists can be substantially improved through the incorporation of acidic HFA side chains (6nm/C to <1 nm/C). The key application space for HFA-methacrylate resists appears to be trench level lithography. It was also demonstrated that these HFA materials are compatible with immersion lithography and result in dramatically improved process windows for iso trench features, in addition to other lines/space features.
To make immersion lithography a reality in manufacturing, several challenges related to materials and defects must be addressed. Two such challenges include the development of water immersion compatible materials, and the vigorous pursuit of defect reduction with respect to both the films and the processes. Suitable resists and topcoats must be developed to be compatible with the water-soaked environment during exposure. Going beyond the requisite studies of component leaching from films into the water, and absorption of water into the films, application-specific optimization of photoresists and top coats will be required. This would involve an understanding of how a wide array of resist chemistry and formulations behave under immersion conditions. The intent of this paper is to compare lithographic performance under immersion and dry conditions of resists containing different polymer platforms, protecting groups, and formulations. The compatibility of several developer-soluble top-coat materials with a variety of resists is also studied with emphasis on profile control issues. With respect to defects, the sources are numerous. Bubbles and particles created during the imaging process, material remnants from incomplete removal of topcoats, and image collapse as related to resist swelling from water infusion are all sources of yield-limiting defects. Parallel efforts are required in the material development cycle focusing both on meeting the lithographic requirements, and on understanding and eliminating sources of defects. In this paper, efforts in the characterization and reduction of defects as related to materials chemistry and processing effects will be presented.
It has been previously proposed that negative-tone resist process would have an intrinsic advantage for printing narrow trench geometry. To demonstrate this for 193nm lithography, a negative resist with performance comparable to a leading positive resist is required. In this paper we report the joint development of a hexafluoroalcohol containing, 193nm, negative-tone, chemically amplified resist based on the crosslinking approach. Lithographic performance is presented which includes the ability of the negative-tone resist to print 90nm line/space and isolated trenches with standard resist processing. The impact of the fluorinated polymer on etch performance is also quantified. Finally, key resist characteristics and their influence on performance and limiting factors such as microbridging are discussed.
In an effort to design our next generation resist materials for sub-100nm lithography, we have introduced the hexafluoroisopropanol (HFA) functionality into the cyclic olefin (CO) polymer structure. It is found that the fluorine-containing HFA group not only helps reduce the 157nm optical density (O.D.) of the polymer and makes it suitable for 157nm application, but also dramatically improves the dissolution properties of the resulting CO polymer. Copolymers with a wide range (20-80%) of norbornene HFA (NBHFA) concentrations show little swelling behavior in aqueous base developer. The dissolution properties of the CO polymer could be further improved by combining the HFA and lactone structures in the CO polymers. This new version of CO polymers maintain a good etch resistance and excellent surface roughness after etch despite the fluorine content. Lithographic evaluation of resists based on these polymers (Cobra 5K) using a 193nm exposure tool (0.75 NA) reveals that Cobra 5K has a low post exposure bake (PEB) sensitivity (<0.5 nm/°C), good process window for both line/space (L/S) and contact holes patterns, and outstanding resolution capabilities especially for contacts application (<100nm).
The objective of this report will be to clarify the maturity of the current 193 SLR materials. We are going to report on all major platform chemistries, i.e.,(meth) acrylate system, ROMP system, cyclic olefin addition system, cyclic olefin/maleic anhydride system, vinyl ether/maleic anhydride system, and cyclyzed system at the same time. We are going to discuss maturity of each platform from several viewpoints such as polymerization process, physical properties of the resins, lithographic performances of the resists, and process latitude of the resists including etch performances. We are also referring to several critical issues such as etch resistance, surface roughness after etch, line slimming, etc. Three major platform chemistries, (meth)acrylate, COMA, and addition, are selected in order to cover the whole spectra of layer requirements. Those three systems respectively show characteristics lithographic performances.
The patterning of very small contact hole features for the 130nm and 100nm device generations will be a difficult challenge for 193nm lithography. The depth of focus for small contacts is currently inadequate for a manufacturable process that includes both dense and isolated pitches. As higher NA 193nm scanners are not expected to improve focus margins considerably, other contact patterning methods are required which improve processing margins. In this work, we study the potential for contact photoresist reflow to be used with 193nm photoresists to increase process windows of small contact dimensions.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.