We present a fully integrated photonic chip spectrometer for near-infrared tunable diode laser absorption spectroscopy of methane (CH4). The integrated photonic sensor incorporates a heterogeneously integrated III-V laser/detector chip coupled to a silicon external cavity for broadband tuning, and a long waveguide element (>20 cm) for ambient methane sensing. An on-chip sealed CH4 reference cell is utilized for in-situ wavelength calibration of the external cavity, and a real-time wavelength compensation method for laser calibration is described and demonstrated. The resulting signal is guided back to the III-V photodiodes for spectral signal readout using a custom-designed acquisition board, remotely controlled and operated by a Raspberry Pi unit. Component-level testing of the waveguide sensitivity, external cavity laser, and reference cell is demonstrated. Full-stack testing of the integrated sensor chip yields sub-100 ppmv∙Hz-1/2 sensitivity, and spectral density analysis demonstrates our integrated chip sensor to have a fundamental performance within an order of magnitude of commercially available fiber-pigtailed DFB laser units. We envision our integrated photonic chip sensors to provide disruptive capability in SWaP-C (size, weight, power, and cost) limited applications, and we describe an achievable short-term pathway towards sensitivity enhancement to near-10 ppmv levels.
We present a chip-scale spectroscopic methane sensor, incorporating a tunable laser, sensor waveguides, and methane reference cell, assembled as a compact silicon photonic integrated circuit. The sensor incorporates an InP-based semiconductor optical amplifier/photodetector array, flip-chip soldered onto a silicon photonic substrate using highprecision waveguide-to-waveguide interfaces. The InP chip provides gain for a hybrid external cavity laser operating at 1650 nm. The sensor features a 20-cm-long TM-mode evanescent-field waveguide as the sensing element and is compatible with high-volume wafer-scale silicon photonics manufacturing and assembly processes. This sensor can be an enabling platform for economical methane and more general distributed environmental trace-gas monitoring.
KEYWORDS: Etching, Critical dimension metrology, Metals, Directed self assembly, Oxides, Back end of line, Dielectrics, Scanning electron microscopy, Tin, Lithography
The progress of using DSA for metal cut to achieve sub-20nm tip-to-tip (t2t) critical dimension (CD) is reported. Small and uniform t2t CD is very challenging due to lithographic limitation but holds the key to backend-of-the-line (BEOL) scaling. An integration scheme is demonstrated that allows the combination of design flexibility and fine, rectified local CD uniformity (LCDU). The combined effect of LCDU and centroid jittering will be discussed and compared to a hole shrink process using atomic layer deposition and spacer formation. The learning from this case study can provide perspectives that may not have been investigated thoroughly in the past. By including more important elements during DSA process development, such as metal cut, the DSA maturit y can be further advanced and move DSA closer to HVM adoption.
Successful pattern transfer from the photoresist into the substrate depends on robust layers of lithographic films. Typically, an alternating sequence of inorganic (most often Si containing) and organic hardmask (HM) materials is used. Pattern transfer occurs then by using reactive ion etch (RIE) chemistry that is selective to one particular layer (such as: flurorinated RIE for Si HM). The impact of these RIE gases onto the layers acting as hardmask for the layer to be etched is typically neglected, except for known sputtering effects. We found that components of the RIE gases can penetrate deep into the “inert” layers and significantly modify them. For example, nitrogen used as component to etch spin-on carbon layers was found to travel up to 70 nm deep into Si HM materials and create layers with different material properties within this film. The question is being raised and discussed to which extent this atom implantation may impact the pattern transfer of the ever shrinking features.
High resolution Extreme Ultraviolet (EUV) patterning is currently limited by EUV resist thickness and pattern collapse, thus impacting the faithful image transfer into the underlying stack. Such limitation requires the investigation of improved hardmasks (HMs) as etch transfer layers for EUV patterning. Ultrathin (<5nm) inorganic HMs can provide higher etch selectivity, lower post-etch LWR, decreased defectivity and wet strippability compared to spin-on hybrid HMs (e.g., SiARC), however such novel layers can induce resist adhesion failure and resist residue. Therefore, a fundamental understanding of EUV resist-inorganic HM interactions is needed in order to optimize the EUV resist interfacial behavior. In this paper, novel materials and processing techniques are introduced to characterize and improve the EUV resist-inorganic HM interface. HM surface interactions with specific EUV resist components are evaluated for open-source experimental resist formulations dissected into its individual additives using EUV contrast curves as an effective characterization method to determine post-development residue formation. Separately, an alternative adhesion promoter platform specifically tailored for a selected ultrathin inorganic HM based on amorphous silicon (aSi) is presented and the mitigation of resist delamination is exemplified for the cases of positive-tone and negative-tone development (PTD, NTD). Additionally, original wafer priming hardware for the deposition of such novel adhesion promoters is unveiled. The lessons learned in this work can be directly applied to the engineering of EUV resist materials and processes specifically designed to work on such novel HMs.
EUV photoacid generation efficiency has been described primarily in terms of the EUV photon absorption by the PAG or the resist matrix and the production of low energy photoelectrons, which are reported as being ultimately responsible for the high quantum efficiencies reported in EUV resists (<1). Such observation led to a number of recent studies on PAGs with variable electron affinity (EA) and reduction potential (Ered) presumably conducive to a differential EUV photoelectron harvesting efficiency. However, such studies either did not disclose the PAG chemical structures, replaced the EUV source with an e-beam source, or lacked a fundamental discussion of the underlying physical mechanisms behind EUV PAG decomposition. In this work, we report the EUV photospeed of a methacrylatebased resist formulated with a battery of openly disclosed isostructural sulfonium PAGs covering a wide range of EA’s and Ered’s, to unveil any preferential photoelectron scavenging effect. In parallel, several iodonium PAGs are also tested in order to compare the direct EUV photon absorption route to the photoelectron-based decomposition path. Contrarily to what has been widely reported, we have found no direct correlation whatsoever between photospeed and the calculated EA’s or experimental Ered’s for the isostructural sulfonium PAGs studied. Instead, we found that iodonium PAGs make more efficient use of the available EUV power due to their higher photoabsorption cross-section. Additionally, we determined a cation size effect for both PAG groups, which is able to further modulate the acid generation efficiency. Finally, we present a formal explanation for the unselective response towards photoelectron harvesting based on the stabilization of the PAG cation by bulky substituent groups, the spatial and temporal range of the transient photoelectron and the differences in electron transfer processes for the different systems studied.
Carbon rich hard mask underlayer (UL) material deposition has become inevitable process in all advanced lithography applications. UL processes which include chemical vapor deposition (CVD) and spin-on UL play a very important role for pattern transfer from patterned thin photoresist to the substrate. UL materials must satisfy several requirements, which have become more demanding with device shrinkage and increasing device complexity (FinFET, 3D integration). The most important properties of next generation UL materials are superior wiggle resistance, etch controllability, thermal resistance, planarization, and gap filling performance. In particular, planarization and gap fill properties of UL material for application on topo-patterned substrate are receiving much attention recently. CVD processes generally give better wiggle performance and thermal resistance, but poorer planarization and gap filling performance than spin-on UL processes. In addition, Cost of Ownership (CoO) of CVD process is higher than that of a spin-on UL process. Therefore spin-on organic hard mask (OHM) process has been investigated as an attractive alternative to CVD processing. In this paper, we focus on an investigation of key properties of spin-on UL materials for achieving good planarity and gap filling performance on topo-patterned substrate. Various material properties such as solution viscosity, glass transition temperature (Tg), and film shrinkage ratio were evaluated and correlations between these properties and planarization were discussed.
Semiconductor manufacturing technology is currently undergoing a transformation from immersion
photolithography to double patterning or EUV technology. The resultant resist dimensional size and height shrinks will
require improved pattern transfer techniques and materials.
Underlayer (UL) processes which include chemical vapor deposition (CVD) and spin-on application play a very
important role in various chip manufacturing integration schemes. A pattern wiggling problem during substrate etch has
arisen as a critical issue when pattern dimensions shrink. CVD processes have shown better pattern transfer performance
than spin-on processes but at higher cost and process complexity along with difficulty in obtaining planarization and
good gap fill. Thus spin-on process development has received increased attention recently as an attractive alternative to
CVD processing.
In this work we focus on elucidating the mechanism of UL wiggling and have synthesized materials that address
several hypothesized mechanisms of failure: hydrogen content, modulus, film density, charge control unit type and
thermal resistance. UL materials with high thermal resistance additionally provide the ability to expand the applicability
of spin-on approaches. Material properties and wiggle failure test results will be discussed.
Process dependent focus leveling errors occur in photolithography when there is unpredicted reflectivity
originating from multilayer structures on the fully integrated process wafer. The typical wavelength used in optical focus
sensors is in the near infrared (NIR) range which is highly transparent to most dielectric materials. Consequently, the
reflected light from underlying structures perturbs the accuracy of the leveling signal reflected from resist surface. To
alleviate this issue, air-gauge focus sensors have been used to measure the wafer surface topography for an in-situ
calibration to correct the focus leveling error. Using an air-gauge sensor is a slow process and a throughput detractor.
Therefore, an NIR-absorbing underlayer has been developed for easy insertion into existing resist coating processes. It
has been demonstrated that the air-gauge sensor can be turned off without showing any degradation in leveling data or
litho performance on back end of line (BEOL) integrated wafers.
The objective of this work is to describe the advances in 193nm photoresists using negative tone
developer and key challenges associated with 20nm and beyond technology nodes.
Unlike positive tone resists which use protected polymer as the etch block, negative tone
developer resists must adhere to a substrate with a deprotected polymer matrix; this poses
adhesion and bonding challenges for this new patterning technology. This problem can be
addressed when these photo resists are coated on anti-reflective coatings with plentiful silicon in
them (SiARC), which are specifically tailored for compatibility with the solvent developing
resist. We characterized these modified SiARC materials and found improvement in pattern
collapse thru-pitches down to 100nm.
Fundamental studies were carried out to understand the interactions between the resist materials
and the developers. Different types of developers were evaluated and the best candidate was
down selected for contact holes and line space applications. The negative tone developer
proximity behavior has been investigated through optical proximity correction (OPC)
verification. The defectivity through wafer has been driven down from over 1000 adders/wafer to
less than 100 adders/wafer by optimizing the develop process. Electric yield test has been
conducted and compared between positive tone and negative tone developer strategies. In
addition, we have done extensive experimental work to reduce negative tone developer volume
per wafer to bring cost of ownership (CoO) to a value that is equal or even lower than that of
positive tone CoO.
S. Engelmann, R. Martin, R. Bruce, H. Miyazoe, N. C. Fuller, W. Graham, E. Sikorski, M. Glodde, M. Brink, H. Tsai, J. Bucchignano, D. Klaus, E. Kratschmer, M. Guillorn
CMOS device patterning for aggressively scaled pitches (smaller than 80nm pitch) faces many challenges. Maybe one of the most crucial issues during device formation is the pattern transfer from a soft mask (carbon based) material into a hard mask material. A very characteristic phenomenon is that mechanical failure of the soft material may be observed. While this was observed first for patterning below 80nm pitch, it becomes increasingly important for even smaller pitches (≤ 40 nm). Further process optimization
by various pre- and post-treatments has enabled robust pattern transfer down to 40nm
pitch. A systematic study of the parameters impacting this phenomenon will be shown.
Other challenges for patterning devices include profile control and material loss during
gate stack patterning and spacer formation. Lastly, initial patterning experiments at an
even more aggressive pitch show that the mechanical failure previously observed for
larger pitches once again becomes an increasingly important issue to consider.
Silicon-containing antireflection coating (SiARC) and spin-on carbon (SOC) under-layers have been
widely implemented for advanced semiconductor manufacturing since the 45 nm node. The combination
of SiARC and SOC promises a superior solution for reflection control and a high etch selectivity. With
the industry marching towards 22 nm and beyond, the tri-layer materials and processes are being finely
tuned to meet the requirements. We report comprehensive evaluation results of the SiARC (with high
silicon content) and carbon under-layer from manufacturing perspective. It focuses on the performances
that are required to extend the tri-layer applications from the original 45 nm nodes to 22 nm and beyond,
such as thickness selection, etch selectivity, resist compatibility, rework capability, and under-layer
pattern wiggling issues.
In this work, we investigate the Negative Tone Develop (NTD) process from a fundamental
materials/process interaction perspective. Several key differences exist between a negative tone develop
process and a traditional positive tone develop system. For example, the organic solvent dissolves the
unexposed material, while the deprotected resist remains intact. This causes key differences in key
patterning properties, such as pattern collapse, adhesion, remaining resist, and photoresist etch selectivity.
We have carried out fundamental studies to understand these new interactions between developer and
remaining resist with negative tone develop systems. We have characterized the dynamic dissolution
behavior of a model system with a quartz crystal microbalance with both positive and negative tone solvent
developers. We have also compared contrast curves, and a fundamental model of image collapse. In
addition, we present first results on Optical Proximity Correction (OPC) modeling results of current
Negative Tone Develop (NTD) resist/developer systems.
Underlayers (UL), such as organic planarizing layers (OPLs) or spin-on carbon (SOC) layers, play a very important role
in various integration schemes of chip manufacturing. One function of OPLs is to fill in pre-existing patterns on the
substrate, such as previously patterned vias, to enable lithographic patterning of the next level. More importantly, OPL
resistance to reactive ion etch (RIE) processes used to etch silicon-containing materials is essential for the successful
pattern transfer from the resist into the substrate. Typically, the pattern is first transferred into the OPL through a two-step
RIE sequence, followed by the transfer into the substrate by a fluorine-containing RIE step that leaves the OPL
pattern mainly intact. However, when the line/space patterns are scaled down to line widths below 35 nm, it was found
that this last RIE step induces severe pattern deformation ("wiggling") of the OPL material, which ultimately prevents
the successful pattern transfer into the substrate.
In this work, we developed an efficient process to evaluate OPL materials with respect to their pattern transfer
performance. This allowed us to systematically study material, substrate and etch process parameters and draw
conclusions about how changes in these parameters may improve the overall pattern transfer margin.
Photoacid generators (PAGs) are a key component in chemically amplified resists used in photolithography.
Perfluorooctanesulfonates (PFOS) and other perfluoroalkylsulfonates (PFAS) have been well adopted as PAGs in 193
nm photoresist. Recently, concerns have been raised about their environmental impact due to their chemical persistency,
bioaccumulation and toxicity. It is a general interest to find environmentally benign PAGs that are free of fluorine atoms.
Here we describe the design, synthesis and characterization of a series of novel fluorine-free onium salts as PAGs for
193 nm photoresists. These PAGs demonstrated desirable physical and lithography properties when compared with
PFAS-based PAGs for both dry and immersion exposures.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.