Thick HfO2 single layers derived from a reactive plasma ion assisted deposition were investigated with a designed film thickness of 800 nm. The film structure was modeled by fitting the corresponding variable angle spectroscopic ellipsometric data and correlated to the ratio of plasma ion momentum transfer during the film deposition. Scatter loss was calculated according to a multilayer model as well as a single surface model. Water absorption in the MWIR was used to confirm the revealed film structure. The results indicate that the scatter loss of the HfO2 based high reflective optics can be estimated by using a single surface model in a first-order approximation from the DUV to the MWIR. A linear relationship between the refractive index inhomogeneity and the amount of plasma ion momentum transfer during the deposition was established. The total loss at 2.95 μm is dominated by the absorptance loss, whereas both the absorptance and the scatter losses are reduced as the ratio of plasma ion momentum transfer increases. Appropriately optimizing and selecting deposition parameters enable low loss and environmentally stable HfO2 coatings, leading to numerous defense applications from the DUV to the MWIR.
Critical dimension (CD) metrology is an important process step within the wafer fab. Knowledge of the CD values at resist level provides a reliable mechanism for the prediction of device performance. Ultimately tolerances of device electrical performance drive the wafer linewidth specifications of the lithography group. Staying within this budget is influenced mainly by the scanner settings, resist process and photomask quality. At the 65nm node the ITRS roadmap calls for sub-3nm photomask CD uniformity to support a sub-3nm wafer level CD uniformity. Meeting these targets has proven to be a challenge. What can be inferred from these specifications is that photomask level CD performance is the direct contributor to wafer level CD performance. With respect to phase shift masks, criteria such as phase and transmission control are also tightened with each technology node.
A comprehensive study is presented supporting the use of photomask aerial image emulation CD metrology to predict wafer level Across Chip Linewidth Variation (ACLV). Using the aerial image can provide more accurate wafer level prediction because it inherently includes all contributors to image formation such as the physical CD, phase, transmission, sidewall angle, and other material properties. Aerial images from different photomask types were captured to provide across chip CD values. Aerial image measurements were completed using an AIMSTMfab193i with its through-pellicle data acquisition capability including the Global CDU MapTM software option for AIMSTM tools. The through-pellicle data acquisition capability is an essential prerequisite for capturing final CD data (after final clean and pellicle mounting) before the photomask ships or for re-qualification at the wafer fab. Data was also collected on these photomasks using a conventional CD-SEM metrology system with the pellicles removed. A comparison was then made to wafer prints demonstrating the benefit of using aerial image CD metrology.
Towards hyper-NA lithography, the mask blank and mask topography have the opportunity to be optimized for imaging performance. At the resolution limit of hyper-NA imaging, depth of focus and MEEF become critical for conventional mask stacks. Although conventional binary masks (BIM) are the simplest and the most cost-effective to manufacture, other mask types can provide better imaging performance.
This study explores the feasibility and imaging performance of an embedded binary mask (EBM). The EBM emphasizes the simple binary manufacturing process with the application of an additional transparent layer. Two types of EBM's, topographic and planar, were evaluated. The mask diffraction properties are studied by both measurements using an ellipsometer (Woollam VUV-VASE) and simulations using Solid-E 3.2.0.2 (Sigma-C). In this first phase, the imaging performance is assessed by rigorous simulations for three different illumination conditions (cross-quad, quasar and annular). By comparing metrics such as contrast, NILS, MEEF, and process windows, simulations determined that an optimized topographic EBM has a better overall through-pitch imaging performance than a conventional binary mask. This preliminary investigation suggests that an embedded binary mask may be considered as an RET option for hyper-NA imaging improvement.
Phase-shift mask (PSM) technology in combination with 193nm illumination remains a viable option for high
contrast imaging towards 45nm half-pitch applications. The advent of hyper NA (immersion) lithography increases the
imaging sensitivity towards the photomask properties, such as mask-induced polarization. In addition, the use of PSM
technology implies taking into account the inherent photomask topography effects for a balanced through pitch imaging. A
good quartz etch depth control of +/-1o through pitch is required for optimized wafer imaging [1]. Therefore, a new PSM
material stack was proposed based on a transparent etch stop layer (TESL) in order to meet the stringent phase depth
requirements beyond 65nm half-pitch [2]. This extra layer allows over-etching of the quartz, resulting in a good etch depth
linearity and uniformity.
This study examines the manufacturability and printability of TESL-based masks. We examine the effect of an
improved quartz etch depth linearity on the through-pitch process windows for a TESL-based alternating aperture (AA)PSM.
Moreover, due to the different stack of photomask material compared to a classical photomask blank, the impact on
printability is investigated by simulations, AIMS and wafer imaging. The image imbalance compensation by trench biasing
needs to be optimized for through-pitch process windows.
The actual depth and line width of the structures is systematically probed within the photomask field. Based
on photomask metrology data, rigorous electro-magnetic field simulations are compared to wafer prints, obtained on an
ASML XT1250Di ArF immersion scanner working with a 0.85NA projection lens and to AIMS results from Zeiss
AIMS fab 193i.
Furthermore, feature sizes on the order of the lithography wavelength induce photomask polarization effects in the
imaging path [3]. The degree of polarization is compared to the polarization behavior of a conventional PSM.
In summary, this study assesses the capability of TESL PSM towards the 65nm node through-pitch imaging.
Today novel RET solutions are gaining more and more attention from the lithography community that is facing new challenges in attempting to meet the new requirement of the SIA roadmap. Immersion, high
NA, polarization, and mask topography, are becoming common place terminology as lithographers continue to explore these areas.
Here with, we compare a traditional 6% MoSi based EAPSM reticle and a high transmission solution made of a SiON/Cr film stack. Insights into the manufacturability of high transmission material are
provided. Test patterns have been analyzed to determine the overall impact of imaging performance when used with immersion scanners and polarized light. Some wafer results provide reliability of simulations, which are used to make further investigation on polarization and immersion effects.
For the 45nm node lithography, the mask substrate properties will have a significant impact on imaging performance. Analysing the optical stress birefringence in mask blanks reveals a wide variation within the available population of mask substrates. Both magnitude and orientation of birefringence result in polarization changes in an optical system. These effects potentially produce image degradation, leading to intra-field CD variations. Besides the inherent properties of the mask blank, additional stress birefringence can be generated during the patterning processing and on-scanner reticle use. The main causes are: deposition of the absorber stack, patterning, chucking of the mask in an exposure tool and the mounting of a pellicle. In this paper, experimental results will be shown on the stress birefringence introduced by the different process steps. The imaging effects of mask birefringence will be determined through simulations and experimental validation on high-NA lithographic exposure tools. This study makes clear how mask birefringence impacts high and hyper NA lithography, and gives an indication of the allowed tolerances.
Today novel RET solutions are gaining more and more attention from the lithography community that is facing new challenges in attempting to meet the new requirement of the SIA roadmap. Immersion, high NA, polarization, and mask topography, are becoming common place terminology as lithographers continue to explore these areas. Here with, we compare a traditional 6% MoSi based EAPSM reticle and a high transmission solution made of a SiON/Cr film stack. Insights into the manufacturability of high transmission material are provided. Test patterns have been analyzed to determine the overall impact of imaging performance when used with immersion scanners and polarized light. Some wafer results provide reliability of simulations, which are used to make further investigation on polarization and immersion effects.
Today novel RET solutions are gaining more and more attention from the lithography community that is facing new challenges in attempting to meet the new requirement of the SIA roadmap. Immersion, high NA, polarization, and mask topography, are becoming common place terminology as lithographers continue to explore these areas. Here with, we compare a traditional 6% MoSi based EAPSM reticle and a high transmission solution made of a SiON/Cr film stack. Insights into the manufacturability of high transmission material are provided. Test patterns have been analyzed to determine the overall impact of imaging performance when used with immersion scanners and polarized light. Some wafer results provide reliability of simulations, which are used to make further investigation on polarization and immersion effects.
One of the enabling RET candidates for 45 nm robust imaging is high transmission (20-30%) EAPSM masks. However, the effectiveness of these masks is strongly affected by the electromagnetic field (EMF) that is ignored in most commercial full-chip OPC applications that rely on the Kirchhoff approximation. This paper utilizes new commercial software to identify and characterize points in a design that are especially sensitive to these EMF effects. Characterization of conventional 6% and 30% High Transmission photomasks were simulated and compared with experimental results. We also explored, via simulation-driven design of experiment, the impact of mask variations in transmission, phase, and SRAF placement and size to the imaging capability. The simulations are confirmed by producing a photomask including the experimental variations and printing the mask to silicon. Final analysis of the data will include exact mask measurements to confirm match to simulation assumptions of mask stack, and phase.
We explore technical and practical issues to apply EAPSM technology with high transmission into ArF lithography. This technique needs to be reviewed in the standpoint of process and device fabrication using short wavelength, high NA, OAI and OPC technology. In this paper, we analyze optical characteristics of multi-stacked film that composed of phase material like MoSi, Cr-SiON, Cr-SiO2, and Ta-SiO2. Three-dimensional analyses of film structure are to consider intensity variations and optical influence by n &k value, thickness and polarization light. The comparison will be focused on optimization or determination of each high T materials. Moreover, we specify CD impacts of mask CD error, variations of phase and transmission for various pattern size, and 3D structure. Polarization effect in this structure and high NA condition will be also interesting part to be studied impacts on process.
In the device application of technology, we consider overall process margin to satisfy cell & periphery design rule and OPC treatment to improve process windows. Optimum SRAF design and tri-tone mask technology will be key issue to improve DOF margin of specific design rule in OPC treatment. For 65nm technology or less, intensity formation distributed on mask affects CD and process margin directly on wafer patterning process. High transmission EAPSM will have specifically differences with 6% EAPSM in OPC treatment and it will be required new OPC rule in ArF lithography. Using simulation and experiment, we find high transmission EAPSM has advantages in device manufacturing and approach technical issue to be solved in material, process and device application. This technique shows to improve exposure latitude & DOF margin, and to reduce MEEF in process. Finally it will be good candidate to satisfy lithography requirement of 65nm and 45nm node.
Alternating aperture phase shift masks (AAPSM) continue to offer high contrast imaging for 65nm half-pitch using conventional 193nm illumination. The transition to high NA lithography systems including immersion lithography, and the ever-decreasing feature sizes have made the topography of the photomask a significant issue in the final resist image. Therefore, the influence of the alternating phase shift depth, the trench profile, and the critical dimension control through variable feature width must be considered and understood for optimized wafer imaging.
This paper will examine the impact on imaging based on three photomasks, each employing different quartz etch chemistries. The three methods used to define the well structures include two all dry and a partial wet etch approach. As the photomask features continue to decrease, slight changes in the quartz etched trench profile and depth can severely affect the wafer prints, as the effective 180 degree phase shift for imaging is not achieved. In this work we correlate the imaging performance through pitch to a systematic evaluation of the photomask topography using complementary photomask metrology techniques.
The actual depth and profile of the structures is obtained on a FEI Stylus nano-profilometer (SNP-XT) and from destructive cross sections. The CD linearity is measured on a top-down reticle CD SEM (KLA 8100XR). Based on photomask metrology data, rigorous electro-magnetic field (EMF) simulations of the various topographic profiles are performed. As a first printing performance estimate the photomasks are evaluated on a Zeiss AIMSfab193. Comparisons between the different evaluations will be made against wafer prints, obtained on an ASML PAS5500/1100 ArF scanner working with a 0.75NA projection lens.
This study will lead to an understanding of the impact of possible limitations of the current quartz etching processes on the imaging performance of alternating phase-shift masks for 65nm half-pitch.
The lithography prognosticator of the early 1980’s declared the end of optics for sub-0.5μm imaging. However, significant improvements in optics, photoresist and mask technology continued through the mercury lamp lines (436, 405 & 365nm) and into laser bands of 248nm and to 193nm. As each wavelength matured, innovative optical solutions and further improvements in photoresist technology have demonstrated that extending imaging resolution is possible thus further reducing k1. Several authors have recently discussed manufacturing imaging solutions for sub-0.3k1 and the integration challenges. The requirements stated in the ITRS roadmap for current and future technology nodes are very aggressive. Therefore, it is likely that high NA in combination with enhancement techniques will continue further for aggressive imaging solutions. Lithography and more importantly “imaging solutions” are driven by economics. The technology might be extremely innovative and “fun”, however, if it's too expensive it may never see the light of scanner. The authors have investigated and compared the capability of high transmission mask technology and image process integration for the 45nm node. However, the results will be graded in terms of design, mask manufacturability, imaging performance and overall integration within a given process flow.
Today the industry is filled with intensity-balanced c:PSM and much more focus is being placed on innovative approaches such as CPL (and in conjunction with IML for Contacts) and tunable transmission embedded attenuating phase shift mask (TT-EAPSM). Each approach has its own merits and demerits depending on the manufacturing strategy and lithography performance required. Currently the only commercially available photomask blanks are different chrome thickness binary and 6% attenuating blanks using molybdenum-silicide, making the accessibility to alternate transmissions much more challenging. This paper investigates the mask manufacturability of a tunable transmission embedded attenuating phase shift mask. New film materials that are used in the mask blank manufacture are modeled, deposited and characterized to determine its ability to meet performance requirements. Sputtering models, by rate and gas component, determines film stacks with tunable transmissions and thicknesses. Chemical durability, etch selectivity and thickness are a few parameters of the films that have been characterized to enhance the manufacturability and process reliability of the masks. Lithography simulation models using measured optical properties were developed and test masks that include actual device designs were fabricated. Analysis of CD variation, pattern fidelity and process margin was performed using 3D mask simulation to understand the impact on 65nm design rules. Feasibility and performance of tunable transmission photomasks for use in design and lithography are verified. Moreover, the mask manufacturability and lithography performance is compared to other enhancement techniques and their merits presented.
Repair of etched quartz defects on AAPSM products negatively affect manufacturability in the mask shop. Currently there are few solutions to repair etched quartz defects, two of these include mechanical removal or a combination of topography mapping and FIB milling of the defect. Both of the above methods involve large capital investments specifically for etched quartz repair. The method presented in this study readily repairs etched quartz without the need to purchase additional tools for AAPSM repair.
Photronics' Advanced Materials Program has developed a transparent etch stop layer (TESL) integrated into the binary blank for the purpose of building AAPSM products with a high yield component. This etch stop layer is located under a layer of sputtered SiO2 deposited to 180° for a given lithography wavelength. These blanks can be used for a variety of etched quartz applications including cPSM and CPL.
Photronics has developed software that reads in defect locations from automatic inspection tools and the jobdeck. A "repair" layer is created for the defect file and the plate is then re-exposed on the mask lithography tool. The defects are then etched away using the etch stop to control the phase of the surrounding trench.
The repair method was tested using programmed defect masks from single etched 193nm AAPSM technologies. Inspection, SEM, AIMS and profilometry results will be shown.
Alternating phase shift masks (alt. PSM) are emerging as an attractive resolution enhancement technique. Although alt. PSM is a technique that clearly improves resolution, there are some inherent disadvantages that are induced by the manufacturing process. Intensity imbalance, phase non-uniformity and quartz defects diminish the performance of an alternating PSM. Many of these disadvantages can be a result of imprecise quartz etching. By implementing a transparent etch stop layer, these deficiencies can be minimized. The etch stop layer ensures that all of the quartz is etched and that over-etching will not induce a phase-shift error. This produces improved phase uniformity and eliminates quartz defects. The etch stop layer also has the ability to improve the image intensity balancing by reducing the intensity through the zero degree region. This paper discusses the advantages and manufacturability of alt. PSM using a transparent etch stop layer.
Current commercially available 193nm Embedded Attenuated Phase Shift Mask (EAPSM) blanks are MoSiON-based. In order to obtain the appropriate optical properties of 6% transmission and 180-degree phase shift at 193nm wavelength, these films are built very thin and subsequently have very high transmission at longer wavelengths. Current inspection tools use 364nm as the inspection wavelength; therefore the high transmission of the commercial blanks (>50% at 365nm) causes sensitivity problems in current high-end inspection tools. This problem is only fixed by costly upgrades to the current inspection tools, resulting in much higher mask costs. Photronics, Inc. has developed an alternative film stack that obtains the appropriate optical properties at 193nm (6%T and 180-degree phase shift). This film stack has a relatively low transmission (<15%) at the inspection tool wavelength in comparison to the commercial blanks enabling improved inspection performance with the current tool set. This paper outlines the development of new 193nm EAPSM blanks, the processing of these masks, and the resulting inspection performance in comparison to the commercial EAPSM blanks.
One of the major challenges in alternating aperture phase shift mask (AAPSM) production is the variability of the glass etch rate as a function of exposed area (pattern loading) on the mask. The lack of an endpoint system means that the etch is entirely based on time, and the result is increased variability in the mean etch depth as well as decreased yields against ever tightening phase specifications. If a transmissive etch stop layer were placed underneath an appropriate thickness of glass to obtain a 180-degree phase shift, the result is a forced endpoint at exactly 180 degrees every time. Such a film system also leads to many process advantages over conventional AAPSM processes. This paper discusses the film stack deposition and maskmaking at Photronics, Inc. and details the process advantages of using AAPSM blanks with etch stop layers.
The minimum gate pitch for the 65nm device node will push 193nm lithography toward k1 ~ 0.35 with NA = 0.85. Previous work has analyzed the challenges expected for this generation. However, in the simplest terms, optical lithography for the 65nm node will be difficult. Lithographers are, therefore, looking into high-transmission attenuated phase shift mask (high-T attPSM), where T > 14%, to improve process margins. The benefits of a high-t attPSM are substantial, but drawbacks like inspection difficulty, defect free blanks manufacture, and sidelobe printing may make the use of such masks impractical. One possible solution to this problem is to employ medium transmission (med-T) attPSM, such as T = 9%, to image critical levels of the 65nm node with 193nm lithography. Earlier work shows that the problems High-T attPSMs face are manageable for med-T attPSM. Sidelobe printing in particular will be treated in this work with simulation and experiment. A primary goal of this effort is to determine if the lithographic benefit of moving from industry-standard 6% attPSM to 9% attPSM is worth the risks associated with such a transition. This goal will be met through a direct comparison of experimental 0.75NA 193nm λ results for 6% versus 9% attPSM on gate, contact/via, and metal layers at 65nm generation target dimensions with leading edge resists. Additional information on the inspectability and reticle blank manufacture of % AttPSM will also be given to provide a cohesive analysis of the transition tradeoffs.
Before 157nm optical lithography can be implemented as an effective technology, the performance of VUV thin film materials must be investigated. These materials will have a significant impact on the ultimate system performance. The capability of such films may actually determine whether an optical technology is viable. We have been exploring the optical properties of various fluorides, oxides and nitrides for use at 157nm. We have developed several approaches for solutions to optical coatings, masking and AR applications. These include an alternative version of a chromium absorber film, optical and AR coatings based on Group III metal fluorides.
Constraints of the photomask are beginning to play dominate roles in the advancement of new microlithographic technology. Mask substrate materials are being explored by several groups. In this work, we explore the thin film masking layers themselves and identify potential solutions for binary and phase-shift masking films for use at 157 nm. The chromium based absorbing films used for binary masking are likely to meet the required needs. Modification of the composition will be required. Attenuated phase shift masking films become challenging as few transparent host thin film materials exist at the 157 nm wavelength.
As optical lithography below 193 nm is explored, materials issues become more challenging. Thin film coatings that are sufficient for use at wavelengths near or above 200 nm are more likely than not to be problematic at 157 nm, 126 nm, or other potential VUV wavelengths. The situation is a concern for optical coatings, masking films, and for resist/substrate reflectivity control. Potential solutions for several film types are presented, which have been deposited and optically characterized for use as attenuated phase shift masking films, binary masking films, and optical coatings for use at 157 nm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.