Through the adoption of EUV lithography and an increase in numerical aperture (NA), smaller and more complex patterns can now be achieved through single exposure while significantly enhancing throughput. However, due to the variations in pattern shapes and densities, optical proximity correction (OPC) is required, leading to increased computational costs. This study aims to reduce line width variation on wafers and minimize OPC through source optimization. The process was performed under 0.33NA and 0.55NA conditions with a low-n absorbent material. The target pattern was a line and space pattern, focusing on making the same line width among various pitches. High pattern fidelity could be achieved by optimizing the source, while maintaining sufficient imaging performance.
The effect of polarized illumination in enhancing pattern fidelity and resolution in high NA EUV is examined. The influence of polarization on two key performance metrics: NILS and nDOF is systematically analyzed. As is known, polarized illumination significantly improves both metrics, leading to better resolution and the process window overall imaging performance in high NA EUV, where maintaining high resolution and image quality is particularly challenging. Integrating polarization into source optimization proves to be highly effective, expanding the process window. The importance of polarized illumination is highlighted in overcoming the resolution limits of high NA EUV lithography, making it a key enabler for future advancements in semiconductor manufacturing.
High-NA EUV lithography is essential for advanced semiconductor manufacturing, particularly for DRAM contact hole patterning. One of the primary challenges in High-NA systems is the intensity variation between the center and edges of the slit. Additionally, critical dimension differences in the x and y directions are further complicated by anamorphic optics and arc-slit illumination configurations, adding to the overall process complexity in High-NA lithography. To address these challenges, we conducted source optimization using a rigorous lithography simulation tool for contact hole patterns, with whole arc-slit configuration. This optimization, considering the full arc-slit effect, successfully reduced intensity variation across the slit. Further refinement in pattern fidelity through threshold level light intensity adjustments improved process margins for both vertical and horizontal patterns. By minimizing the need for optical proximity correction and mask bias, our approach simplifies the pattern transfer process from mask to wafer, enhancing accuracy. Additionally, source optimization combined with precise illumination control significantly improves the process window, particularly when dealing with the arc-slit configuration, facilitating the manufacturability of DRAM patterns in high NA EUV lithography.
In this paper, we present a new approach to EUV source optimization which automatically generates a binary solution for the intensities of almost all the pixels. The benefit of such a binary solution is that the source power is distributed evenly over all the illuminated pixels, thus avoiding large intensity spikes which can lead to accelerated, radiation-induced degradation of certain parts of the imaging system.
In this paper, we first explain why the original pseudo-spectral time-domain (PSTD) formulation, as given in a well-know book on computational electromagnetics, is numerically unstable for curvilinear geometries. Then we explain how this problem can be fixed by a simple but crucial modification to the original formulation. The new formulation has allowed us to construct a very accurate and extremely fast rigorous simulator for DUV and EUV lithography. Benchmarks are presented to demonstrate the high accuracy and great speed of the new simulator. This brings us one step closer to achieving the goal full-chip, rigorous simulation for DUV and EUV lithography.
An extreme ultraviolet (EUV) pellicle is needed for the protection of EUV masks from defects, contaminants, and particles during the exposure process. However, the EUV pellicle can be easily deformed during the exposure process because it has an extremely thin thickness for high transmission of EUV lights. Due to the very thin thickness and the weak structure of the pellicle, a pellicle is easily deformed; a wrinkled pellicle causes an image distortion, which leads to critical dimension (CD) variation. In addition, a particle defect on an EUV pellicle can result from scanner building materials. Added materials of the particle defect on an EUV pellicle can also cause image distortion and CD variation. We investigated the impact of wrinkles and particle defects on the transmission and CD variation for the 5- and 3-nm nodes of isomorphic and anamorphic numerical aperture (NA) systems. The variation in transmission and the critical size of the particle defect with a wrinkled EUV pellicle were calculated to obtain the requirement of a CD variation of 0.2 nm for a EUV pellicle. As a result, a change in transmission of 1.9% (after two pass) resulted in a 0.2-nm variation in the CD for the anamorphic NA system (3-nm node), whereas a transmission variation of 1.3% (after two pass) caused a 0.2-nm CD variation in the isomorphic NA system (5-nm node). From these results, an allowable local tilt angle can be calculated; the allowable local tilt angle of an isomorphic NA system is 0.31 rad and that of an anamorphic NA system is 0.41 rad. When the particle defect is added on a wrinkled EUV pellicle, the critical size of the particle defect is 1.2 μm for the 5-nm node and 2.2 μm for the 3-nm node.
Extreme ultraviolet (EUV) pellicle is required for protecting the EUV mask from defects, contaminations, and particles during exposure process. EUV pellicle should be very thin for high transmission of EUV wavelength. Therefore, EUV pellicle can be easily deformed during the exposure process, and the multi-stack pellicle is suggested to minimize this deformation of EUV pellicle. The EUV multi-stack pellicle is made of polysilicon-based core layer and capping layers for the durability during the exposure process. Nevertheless, there remains other manufacturing, thermal, and mechanical problems. In this study, we investigated the impact of wrinkles of EUV pellicle, which can be formed during pellicle manufacturing or exposure process, in terms of transmission non-uniformity and critical dimension (CD) variation for 5- nm and 3-nm nodes. To fabricate 3-nm node, we need a high numerical aperture (NA) system such as an anamorphic NA system with chief ray angle of 6-degree. The wrinkle can be uniform in height and period, but we assumed a realistic non-uniform wrinkle. This non-uniform wrinkle of multi-stack pellicle may cause different image distortion for 5-nm and 3-nm nodes with the isomorphic and anamorphic NA systems. The transmission non-uniformity is calculated with various heights and periods of non-uniform wrinkles of the pellicle. It is found that the transmission non-uniformity for wrinkled pellicle for the anamorphic NA system can be larger than that for the isomorphic NA system to obtain CD uniformity below 0.2 nm.
EUV lithography is one of the promising technologies for 1X nm patterning. EUV lithography has high resolution capability because of short wavelength of source but it has some particular patterning problems which are not appeared a t optical lithography. Owing to reflective optics, EUV light incidents obliquely in mask and oblique incidence of EUV lithography leads shadow effect and arc-shaped exposure slit. The study of these particular optical problems are required for optical proximity correction (OPC). Arc-shaped exposure slit leads azimuthal angle variation, incident angle variation , and variation of shadow width. With these variations along exposure slit, patterning result is varied along the exposure slit. With understanding of these particular optical problems, lots of EUV OPC studies have been presented with 0.33 conventional NA system. However, suggested anamorphic high NA system has not only elliptical shaped mask NA and also different angle distribution. The incident angle variation as a function of azimuthal angle is different between isomorphic and anamorphic NA systems. In case of anamorphic NA system, incident angle distribution is decreased on horizontal direction but it is larger on vertical direction compared with case of isomorphic NA system. These differences make different arc-shaped slit effect. CD variation as a function of azimuthal angle is different between isomorphic and a namorphic NA systems. The study of CD variation along the exposure slit is very helpful for OPC in EUV lithography.
For protecting mask from debris, EUV pellicle is considered as a most effective solution. EUV pellicle can avoid
contamination on mask by covering mask. Usage of EUV pellicle can reduce mask damage caused by contamination but
the pellicle involves transmission loss due to absorption of EUV light. To get high transmission, pellicle made with thin
thickness but it can be deformed easily due to weak structure. Deformation of pellicle such as wrinkle leads transmission
non-uniformity and transmission non-uniformity will involve CD non-uniformity. For real-application at lithography
process, the optical study of deformed pellicle is required to avoid degradation of CD uniformity. In this paper, we
discuss transmission non-uniformity with various off-axis-illumination (OAI) conditions. Then we studied CD nonuniformity
caused by wrinkled pellicle with various patterns. By increasing spatial coherence, transmission nonuniformity
is decrease at small wrinkle region. However, transmission non-uniformity variation is independent with
illumination conditions at large wrinkle which has large period. Not only wrinkled pellicle imaging but also CD variation
caused by non-uniform transmission is also dependent on illumination conditions. In contrast with transmission nonuniformity,
CD non-uniformity with high coherent light is smaller than the result with low coherent light. With all of
results, we find that the allowable local tilt angle is varied with wrinkle size and illumination conditions and smallest size
of allowable local tilt angle is about 250 mrad for both illuminations.
A high numerical aperture (NA) system with an NA larger than 0.5 is required to make patterns of 1X nm and below, even though extreme ultraviolet lithography uses a 13.5-nm wavelength source. To avoid the reflective efficiency loss and to avoid an increase in the chief ray angle of incident light, use of an anamorphic high-NA system is suggested. The suggested anamorphic NA system has nonisotropic magnification, x-magnification of 4× and y-magnification of 8×, and the mask NA shape is an ellipse due to the nonisotropic magnification distribution. Anamorphic NA systems have a nonconventional shadow effect due to nonisotropic incident angle distribution and magnification. These nonisotropic characteristics lead to the reduction of asymmetric shadow distribution and a reduction of horizontal–vertical bias. As a result, anamorphic NA systems can achieve balanced patterning results regardless of pattern direction and incident direction.
The absorber stack on the conventional mask in extreme ultraviolet (EUV) lithography technology leads to mask three-dimensional (3-D) effects including horizontal–vertical (H–V) bias and position shifts through focus. To overcome these problems, we revisit the etched multilayer mask structure. We focus on the etched multilayer mask structure process down to a 16-nm half-pitch at a 0.33 numerical aperture, and we compare the results from this mask to those obtained with a conventional mask. Removing the absorber stack makes the H–V bias of an etched multilayer mask smaller than that of a conventional absorber mask for a 16-nm half-pitch. Thus, the etched multilayer mask can be used to reduce the mask 3-D effects.
Even though EUV lithography has extremely short wavelength source, a high numerical aperture(NA) system larger than 0.5 is required to make fine pattern of 1X nm and below. In order to avoid reflective efficiency loss and increase of chief ray angle of incident light, anamorphic high NA is suggested. Suggested anamorphic NA system has non-isotropic magnification which is varied 4X to 8X and the mask NA shape is ellipse due to non-isotropic magnification distribution. Anamorphic NA system has a non-conventional shadow effect due to non-isotropic incident angle distribution and magnification. These non-isotropic characteristics leads the reduction of asymmetric shadow distribution and it involves the reduction of horizontal-vertical bias. As a result anamorphic NA system can achieve balanced patterning results regardless of pattern direction and incident direction.
The usage of an extreme ultraviolet (EUV) pellicle is regarded as a potential solution for defect control because it can protect the mask from airborne debris. However, some obstacles disrupt realistic application of the pellicle, such as its structural weakness, the risk of thermal damage, and so on. For these reasons, flawless fabrication of the pellicle is impossible. We discuss the influence of a deformed pellicle in terms of the nonuniform intensity distribution and the critical dimension (CD) uniformity. When we consider a 16-nm periodic pattern with dipole illumination, a transmission difference (max-min) of 0.7% causes CD uniformity of 0.1 nm. The deflection of the aerial image caused by gravity is small enough to ignore. CD uniformity is <0.1 nm, even for the current gap of 2 mm between the mask and pellicle. However, wrinkling of the EUV pellicle, caused by heat, can cause serious image distortion because a wrinkled EUV pellicle experiences both transmission loss variation as well as CD nonuniformity. The local angle of a wrinkle (as opposed to the period or amplitude of a wrinkle) is the main factor that influences CD uniformity, and a local angle of <∼16 deg is needed to achieve 0.1-nm CD uniformity for a 16-nm L/S pattern.
The usage of the extreme ultraviolet (EUV) pellicle is regarded as the solution for defect control since it can protect the mask from airborne debris. However some obstacles disrupt real-application of the pellicle such as structural weakness, thermal damage and so on. For these reasons, flawless fabrication of the pellicle is impossible. In this paper, we discuss the influence of deformed pellicle in terms of non-uniform intensity distribution and critical dimension (CD) uniformity. It was found that non-uniform intensity distribution is proportional to local tilt angle of pellicle and CD variation was linearly proportional to transmission difference. When we consider the 16 nm line and space pattern with dipole illumination (σc=0.8, σr=0.1, NA=0.33), the transmission difference (max-min) of 0.7 % causes 0.1 nm CD uniformity.
Influence of gravity caused deflection to the aerial image is small enough to ignore. CD uniformity is less than 0.1 nm even for the current gap of 2 mm between mask and pellicle. However, heat caused EUV pellicle wrinkle might cause serious image distortion because a wrinkle of EUV pellicle causes a transmission loss variation as well as CD non-uniformity. In conclusion, local angle of a wrinkle, not a period or an amplitude of a wrinkle is a main factor to CD uniformity, and local angle of less than ~270 mrad is needed to achieve 0.1 nm CD uniformity with 16 nm L/S pattern.
We studied various particle defects such as Fe, Al, and SiO2 which are frequently generated during extreme ultraviolet lithography (EUVL). It is important to find the critical sizes of the defect that do not make 10% critical dimension (CD) error because the defect causes CD variation. We found that the critical size of a defect was dependent on the extinction coefficient of the defect material and the particle defect with larger extinction coefficient made smaller critical size that could make 10% CD error. In addition it is needed to study the critical size of the defect which is located on the side of the absorber because it is hard to clean the location. We investigated the defect, which was located on the left side of absorber, affect more on patterning. Also arbitrary shape of defect is studied. As a result, the aerial image is most sensitive with defect area over the length and the height of the defect.
One of the main concerns about EUV lithography is whether or not it can be extended to very high numerical aperture. Recently, a waveguide effect in high-NA EUV lithography was observed. This effect serves to overcome the problem of shadowing in EUV lithography and allows EUV lithography to be extended to the 4-nm node. In this paper, an exact eigenmode analysis is presented to explain the observed effect. This waveguide effect is then applied to simulate the printing of 4-nm lines and spaces with excellent aerial-image contrast and peak intensity. The feasibility of EUV lithography for printing logic circuits containing general 2D patterns with 4-nm feature size is also demonstrated.
In this paper, rigorous simulation is used to explore the limits of high-NA EUV lithography for printing real circuits containing 1D and 2D patterns. First, a new, non-conforming mesh pseudo-spectral time-domain formulation suitable for simulating complicated EUV mask layouts is described. Then, an exact mathematical explanation of the waveguide effect in high-NA EUV lithography is presented. This effect serves to overcome much of the problem of shadowing in high-NA EUV lithography. Next, the printing of a DRAM circuit with 4-nm feature size using optical proximity correction and single exposure is demonstrated. Finally, the possibility of printing a SRAM circuit with 4-nm feature size using single exposure is discussed.
One of the main concerns about EUV lithography is whether or not it can be extended to very high numerical
aperture. In this paper, we show by rigorous electromagnetic simulation that there is a very interesting and
hitherto undiscovered electromagnetic phenomenon occurring in the 4-nm feature size regime. This new phenomenon can be exploited to enable the printing of 4-nm lines and spaces with excellent aerial-image contrast
and peak intensity. Also, we show how it is possible to print a logic circuit containing a general 2D pattern with
4-nm feature size, using suitable absorber and multilayer tuning, reduction ratio, exposure technique and optical
proximity correction.
The main problem in extreme ultra-violet (EUV) lithography for mass production is low source power. In order to overcome this problem, we suggest to use an etched multilayer mask introduced several years ago. The etched multilayer mask structure does not need an absorber stack and it was found that we could get higher aerial image slope and peak intensity than those of the conventional absorber mask structure. Also, the etched multilayer mask can reduce the pattern shift and horizontal-vertical (H-V) bias.
Etched multilayer masks in EUV lithography for 16 nm node and below are better than conventional binary masks due to their higher image intensities and image slopes. However, aerial-image simulation of etched multilayer masks requires special care in order to obtain accurate results. In this paper, we first show that the usual Hopkins method for partial coherence simulation gives very inaccurate results when off-axis illumination is used. We then discuss an enhanced Hopkins method which provides far greater accuracy. Simulation results are presented to demonstrate the importance of using the enhanced Hopkins method for EUV lithography simulation.
To protect an EUV mask from contamination, a pellicle can be used. However, the pellicle membrane must be very thin due to EUV absorption. As a result, a pellicle support structure is needed to avoid deflection of the membrane by gravity. Previous authors have shown that such a structure would produce a non-uniform intensity distribution on the wafer. In this paper, we use simulation to re-examine the issue. The results show that, when coherent illumination is used, a pellicle support structure would have an undesirable effect on the aerial image. However, we also show that, when partially coherent illumination is used, the intensity non-uniformity caused by the pellicle support structure can be effectively smoothed out, resulting in a perfectly acceptable aerial image.
We report the effect of the mesh support for the EUV pellicle on the wafer pattern image. The intensity distribution passing through the meshed pellicle was simulated with a partially coherent EUV beam showing that its non-uniformity and the CD uniformity are increased with the mesh width. In order to reduce a non-uniformity of the intensity distribution and CD uniformity, the mesh width should be narrower and the height becomes smaller as well. Thus, the image deformation on the wafer due to the mesh can be avoided by optimizing the mesh structure and thus the pellicle with the mesh support can be used for the EUV lithography.
193 nm inspection for various defect types on top of the extreme-ultraviolet (EUV) mask is studied. The antireflection coating (ARC) is tried to enhance the defect inspection. However, adding ARC is not helpful to increase the sensitivity. Thus, 2 nm TaBO generally used for preventing the oxidation is mainly used. The aerial image deformation caused by the defect is compared to that of the defect free mask. Peak intensity difference is quantized and the sensitivity that is comparable to the ITRS defect inspection limit is chosen. The inspection criterion for typical defect types of extrusion, intrusion, pindot and pinhole is compared.
The Critical Dimension (CD) uniformity due to the defect on the Extreme-Ultraviolet (EUV) pellicle is reported. Based on computational simulation of the aerial images for different defect size on the wafer, it is found that the size of the defect should be smaller than 2 μm for the CD uniformity of 0.1 nm. The aerial image for the different defect materials, sulfur and ruthenium, are also simulated showing that the CD uniformity does not have a noticeable dependence on the different defect materials. However, the CD uniformity is worsened with the mesh structure due to its shadow and the much smaller defects size, less than 2 μm, can be allowed.
Over the past several years, people have accomplished a great deal of developing the Extreme-ultraviolet lithography
(EUVL) technologies. However, several problems which disturb the mass-production of EUVL still remain. One of the
problems is the defect control. In order to protect the mask from defect, the usage of the pellicle is essential. However the
transmission loss caused by contamination can lead to the pattern error. Therefore it is necessary to find the acceptable
thickness of the contamination layer that would cause the image error. The protection ability of the pellicle in terms of
critical dimension variation is studied. Our study indicated that the process latitude difference is small enough to ignore
whether the pellicle is used or not. In addition the protection ability of pellicle is good against the case of conformal
contamination in terms of CD variation.
Computer simulation can be very useful for developing a better understanding of the printability of buried
defects in EUVL masks. At present, the most widely used rigorous methods for this purpose are the finite-difference time-domain (FDTD) and the rigorous coupled wave analysis (RCWA) methods. However, both of
these methods require huge computation resources to simulate large 3D EUVL masks accurately. In this paper,
a new rigorous EMF simulator based on the pseudo-spectral time-domain (PSTD) method is discussed. PSTD
is free from the grid-snapping and numerical-dispersion errors that plague FDTD and has an accuracy equal
to that of RCWA. Also, PSTD requires relatively little computer memory and, furthermore, is well suited to
parallization on both multi-core CPU and multi-GPU platforms.
The FDTD method has difficulty in modeling buried defects in multilayered EUV masks because of the
limitations of grid snapping, large numerical-dispersion errors and rectangular cells that do not fit the non-planar
shapes of buried defects easily. In this paper, a rigorous EMF simulator based on the pseudo-spectral time-domain
(PSTD) method is discussed. The PSTD method is free from the limitations of FDTD and can model buried
defects in EUV masks accurately. Detailed comparison with FDTD is given to demonstrate the accuracy, speed
and memory efficiency of PSTD for the rigorous simulation of buried defects in EUV masks.
Subgrid and subcell FDTD (S-FDTD) methods are described. They can be used for the fast and accurate
simulation of mask electromagnetic effects in sub-45nm lithography. The accuracies of the S-FDTD methods are
verified by comparison with FDTD and with a very accurate pseudospectral reference solution. The S-FDTD
methods are an order of magnitude or more faster than FDTD. Furthermore, the S-FDTD methods require much
less memory than FDTD for time marching. Hence, much larger mask areas can be simulated with S-FDTD than
with FDTD.
Lincoln Laboratory has designed and fabricated a charge-coupled device (CCD) array capable of imaging both polarization and illumination uniformity. The device consists of an 1107-element linear array of UV-optimized silicon photodiodes readout by a three-stage CCD through a single ~1-MHz output amplifier. This yields an effective clock rate for the whole array of ~1 kHz. Each of the active diode surfaces within the 1107-element array is covered by a UV-opaque layer of polysilicon into which are patterned 140-nm, transmissive sampling slits. The orientation and location of the slits enables simultaneous determination of illumination uniformity, degree and direction of polarization, and polarization uniformity. The device is tested with a 193-nm excimer laser equipped with variably polarized illumination and the theoretical performance of the device was supported by finite-difference time domain optical simulations.
Lincoln Laboratory has designed and fabricated a charge-coupled device (CCD) array capable of imaging both polarization and illumination uniformity. The device consists of an 1107-element linear array of UV-optimized silicon photodiodes read out by a three-stage CCD through a single ~1-MHz output amplifier. This yields an effective clock rate for the whole array of ~1 kHz. Each of the active diode surfaces within the 1107-element array is covered by a UV-opaque layer of polysilicon into which are patterned 140-nm, transmissive sampling slits. The orientation and location of the slits allows simultaneous determination of illumination uniformity, degree and direction of polarization, and polarization uniformity. The device was tested with a 193-nm excimer laser equipped with variably polarized illumination and the theoretical performance of the device was supported by finite-difference time domain optical simulations.
Immersion lithography is proposed as a method for improving optical microlithography resolution to 45 nm and below via the insertion of a high-refractive-index liquid between the final lens surface and the wafer. Because the liquid acts as a lens component during the imaging process, it must maintain a high, uniform optical quality. One potential source of optical degradation involves changes in the liquid's index of refraction caused by changing temperatures during the exposure process. Two-dimensional computational fluid dynamics models from previous studies investigated the thermal and fluid effects of the exposure process on the liquid temperature associated with a single die exposure. We include the global heating of the wafer from multiple die exposures to better represent the "worst-case" liquid heating that occurs as an entire wafer is processed. The temperature distributions predicted by these simulations are used as the basis for rigorous optical models to predict effects on imaging. We present the results for the fluid flow, thermal distribution, and imaging simulations. Both aligned and opposing flow directions are investigated for a range of inlet pressures that are consistent with either passive systems or active systems using filling jets.
Immersion lithography has been proposed as a method for improving optical microlithography resolution to 45 nm and below via the insertion of a high refractive index liquid between the final lens surface and the wafer. Because the liquid will act as a lens component during the imaging process, it must maintain a high, uniform optical quality. One potential source of optical degradation involves changes in the liquid’s index of refraction caused by changing temperatures during the exposure process. Two-dimensional computational fluid dynamics models from previous studies have investigated the thermal and fluid effects of the exposure process on the liquid temperature associated with a single die exposure. Here, the global heating of the wafer from multiple die exposures has been included to better represent the “worst case” liquid heating that will occur as an entire wafer is processed. The temperature distributions predicted by these simulations were used as the basis for rigorous optical models to predict effects on imaging. This paper presents the results for the fluid flow, thermal distribution, and imaging simulations. Both aligned and opposing flow directions were investigated for a range of inlet pressures that are consistent with either passive systems or active systems using filling jets.
We have measured the intrinsic scattering of water with an eye toward its potential impact on immersion lithography. Quantitative measurements of the elastic Rayleigh scatter agree well with theory and show a loss of 0.001 cm-1. Qualitative measurements of the inelastic Raman scattering show a strong peak at 206 nm, consistent with the O-H stretch present in water. Both are expected to contribute flare of < 10-6 of the incident intensity. We have also examined the possibility for bubbles in the immersion liquid, and in particular those which form near the resist surface. We have measured scattering from single bubbles and estimate that bubbles as small as 5 μm should be detectable in this fashion. In addition, we have measured the potential for bubbles due to laser induced resist outgassing by direct imaging. In 2500 resist images (~235 mm2 of surface), we have seen only one bubble candidate which, due to its persistence in the water, we do not believe represents a true outgassing-induced bubble. Finally, using a technique borrowed from biology, rapid cryofixation/freeze fracture, we have examined nanobubbles which form spontaneously on hydrophobic surfaces and found that degassing the water prevents their formation.
A simulation package has been developed for predicting the influence of immersion, i.e., the presence of a uniform liquid layer between the last objective lens and the photoresist, on optical projection lithography. This technology has engendered considerable interest in the microlithography community during the past year, as it enables the real part of the index of refraction in the image space, and thus the numerical aperture of the projection system, to be greater than unity. The simulation program described here involves a Maxwell vector solution approach, including polarization effects and arbitrary thin film multilayers. We examine here the improvement in process window afforded by immersion under a variety of conditions, including λ = 193 nm and 157 nm, annular illumination, and the use of alternating phase shift mask technology. Immersion allows printing of dense lines and spaces as small as 45 nm with acceptable process window. We also examine the effect of variations in liquid index on the process window and conclude that the index of the liquid must be known to and maintained within a few parts per million. This has important implications for the temperature control required in future liquid immersion projection systems.
The recently developed multiresolution time-domain (MRTD) method is applied to the rigorous simulation of diffraction from three-dimensional phase-shifting mask structures. The results of a convergence study of MRTD are presented, together with performance benchmarks, for comparison with the finite-difference time-domain (FDTD) method. The results show that MRTD is one order of magnitude faster than FDTD for the same level of accuracy.
A simulation package has been developed for predicting the influence of immersion, i.e. the presence of a uniform liquid layer between the last objective lens and the photoresist, on optical projection lithography. This technology has engendered considerable interest in the microlithography community during the past year, as it enables the
real part of the index of refraction in the image space, and thus the numerical aperture of the projection system, to be greater than unity. The simulation program described here involves a Maxwell vector solution approach, including polarization effects and arbitrary thin film multilayers. We examine here the improvement in process window afforded by immersion under a variety of conditions, including λ = 193 nm and 157 nm, annular illumination, and the use of alternating phase shift mask technology. Immersion allows printing of dense lines and spaces as small as 45 nm with acceptable process window. We also examine the effect of variations in liquid
index on the process window and conclude that the index of the liquid must be known to and maintained within a few parts-per-million. This has important implications for the temperature control required in future liquid immersion projection systems.
A monolithically integrated multi-element photodiode array with 7749 discrete detectors has been fabricated where each sensing element is equipped with a sampling aperture to allow for aerial image measurements with high spatial precision in the focal plane of lithographic lenses. As currently configured, any one of seven 1107-element linear arrays can be used at a given time to allow sampling across the long axis of a scanner lens. The individual elements are located 24 microns apart and are broken down into 27 sets of 41 distinctly different aperture types, with each set spaced 1 mm apart. In addition, the sampling apertures on the device are small enough to allow the device to act as a polarization sensor with high (<50 microns) spatial resolution. The high speed analog output amplifier allows for complete 1107-element images to be obtained at the full repetition rate of lithographic lasers (2KHz).
In this paper we introduce the concept of single combined field integral equation to the rapidly developing field of in-line metrology employing scatterometry. The new method is very fast and accurate with extreme versatility, enabling very rapid profile analysis of periodic and isolated features. Several examples in 2D and 3D, such as T-top profiles, contact holes and entire SRAM cells, are presented.
A Huygens surface excitation technique suitable for FDTD computation of electromagnetic scattering from photomask apertures embedded in layered dispersive substrates is presented. The technique is based on the decomposition of an arbitrary incident wave into its frequency components and computing the corresponding steady-state fields in the FDTD space analytically. The technique allows the effects of oblique incidence in partially coherent imaging to be taken into account rigorously in the electromagnetic computation. Numerical results for partially coherent aerial images in 157-nm lithography show that there is an approximately 20 percent difference between the aerial image intensity computed by FDTD and that computed by using the Kirchhoff approximation. This difference can in part be attributed to energy dissipation in the lossy chromium layer through which the photomask apertures are etched.
In this paper we report a new algorithm designed to enable printability and enhanced defocus budget at half and sub- half wavelength feature sizes. An integral part of this algorithm is the optimization of aerial image contrast, performed in stages, for an algorithmically determined set of contrast cost functions. The optimization is performed on the geometric shape of the condenser filter, herein referred to as the illuminator. Combining (1) illuminator optimization, (2) reticle proximity correction, and (3) attenuated phase shift masks allows one to perform corrections to aggressive SRAM mask designs with features sizes as small as 140 nm, when employing 248 nm illumination, as well as 125 nm feature sizes of lines and spaces. We also present optimizations for 80 nm lines, with 120 nm spaces using 193 nm illumination.
The original hybrid-FDTD method developed by Wu and Itoh is extended to handle lossy material with positive or negative dielectric constants. Numerical results are given to compare the hybrid-FDTD method and standard FDTD. The result show that the hybrid-FDTD method is much more accurate than standard FDTD when the same mesh spacing is used in both methods. In the case of lossy materials with negative dielectric constants, the hybrid-FDTD method is found to be found to be much more accurate than standard FDTD even when a mesh spacing four times finer is used in the latter method. These results highlight the importance of modeling curved surfaces accurately in DUV lithography simulation using the hybrid-FDTD method.
A single integral equation formulation for electromagnetic scattering from three-dimensional dielectric objects is discussed. The new formulation converges significantly faster than the traditional, coupled integral equation formulation. The new formulation is extended to incorporate the exact boundary conditions for isolated mask features by using dyadic Green's functions for the stratified medium background. Results of three-dimensional phase-shifting mask simulation are presented.
A rigorous 3D nonplanar lithography simulator based on the integral equation approach to electromagnetic scattering is presented. The novelty of our approach lies in computing the scattering of each diffraction order separately during the initial setup phase of a simulation. Thereafter, the image intensity distributions for arbitrary mask geometries and defocus settings can be generated readily by appropriate superposition of the scattering results for the various diffraction orders. This capability allows one to simulate the effects of mask bias and defocus on a given BARC process efficiently.
This paper discusses an extension of the fast multipole method to electromagnetic scattering from doubly periodic, multilayer wafer topography. The novelty of our approach lies in the use of a pseudo-periodic translation operator which can be computed efficiently using fast Fourier transform. Results obtained using the rigorous boundary conditions for dielectric surfaces are compared with those obtained using the approximate impedance boundary condition. The latter is shown to give good results for the type of topography usually encountered in lithography simulation. Results of reflective-notching simulation using the IBC method are presented.
This paper discusses a new formulation of the Fast Multipole Method of 3D topography scattering in the physical-optics approximation. The novelty of this formulation lies in the use of generalized Sommerfeld integrals to represent the vector multipole waves. This technique allows 3D reflective-notching simulation to be done on an ordinary workstation in O(N3/2) time, where N is the number of nodes on the surface of the topography. Simulation results for 3D topography with different slope angles are presented. The simulated reflective-notching effects were found to be consistent with a ray-optics model.
A method of imaging thin films under high NA conditions is described and implemented. This method is based on the Hopkins' theory. This technique is benchmarked on two examples. Run times and profiles are reported. This method is used to calculate the etch rate of the photoresist and is used as an input to a development simulator for one of the benchmarks. Three methods of simulating photolithographic development are cell removal, ray-trace, and advection. Each solves for the advancing surface as the motion of a contour of an imaginary function under the Hamilton-Jacobi equation. Each method has advantages and limitations in reaching the goal of a fast, accurate and easy to maintain photolithography simulator. A discussion of the algorithms necessary for a ray-trace simulator are also included. Aspects of proper mesh maintenance and implementing correct boundary condition solutions are demonstrated, with the Hamilton-Jacobi formulation used as justification. A new deloop algorithm specially designed for ray-trace advancement is presented. A new triangulation technique, specially designed for surface advancement simulators is outlined.
In this paper, we extend the Hopkins formulation to take into account high numerical aperture and thin-film interference effects by introducing a new TCC function for each depth inside the photoresist, which completely characterizes the lens/thin-film system with respect to partial coherence, aberrations, defocus and interference effects at the given depth within the photoresist. The basis of the new formulation lies in the fact that, in the presence of the thin- film stack, each point on the exit pupil of the optical system maps linearly not into a single plane wave, but into a family of multiply reflected and generally obliquely propagating plane waves, when bleaching induced scattering effects are neglected. The response within the photoresist due to each incident plane wave is calculated by the method of thin-film optics. The results are then used in the calculation of a new, matrix pupil function of the lens/thin- film system for each depth within the photoresist. Obliquity factors appropriate to high-NA systems are included in the new pupil function. For the Koehler illumination commonly used in reduction projection systems, it is shown that the total irradiance at each depth within the photoresist is expressible in terms of a matrix TCC in the limit when the rays incident on the mask are all nearly vertical, as is the case in a 5X reduction system.
KEYWORDS: Multiple scattering, Optical lithography, Wave propagation, Near field diffraction, Diffraction, Photoresist materials, Reflection, Optical simulations, Scattering, Near field optics
This paper discusses various techniques to implement the physical-optics approximation for topography simulation. The accuracies of the various techniques are examined by comparison with the results of a rigorous, time- domain method. It is shown that inter-surface multiple scattering effects and near-field diffraction effects in intra-surface multiple scattering must both be taken into account to obtain satisfactory agreement with the time-domain method. A technique to correct for the effects of non-physical-optics edge currents is also described.
An image simulation program was developed and the corresponding experiment was performed to study the printability of particle on pellicle or pellicle defect for a DUV (X = 248 nm) stepper exposure. As an application of the program, we calculated the printability of pellicle defects for pellicles on both sides of the mask as a function of light source wavelength, numerical aperture, pellicle stand off distance, partial coherence, mask pattern feature size, defocus, etc. The results showed that at 248 nm wavelength exposure the change of aerial image at the wafer plane induced by the chrome side pellicle defect was larger than that of g-line (X=436 nm) or Mine exposure (X=365 nm). Simulation for a given pellicle defect size, placed at different side of mask indicated that glass side defect gave a larger change on the aerial image in terms of loss of image energy, and smaller change in terms of decrease in modulation transfer function (MTF) than that of chrome side. Experimental results performed on our DUV stepper substantiated the simulation results.
A iod1 of oJ. )tica. I hthograpliy suitable for certain types of onedimensional 1)eriOdic tOpogra)hy including birds beaks afl(L reflowed BISC4 structures is described. it is based on a formalism of diffraction grating theory which uses a. coordinate t. raiisforna. tiou to iap all the nonpla. uar surfaces oiit. o parallel planes a. iid it ca. ii be used for the rigorous simulation of photoresist. latent images of oiiedimensional mask patterns with periodicity fuller j)a. ra. lleI or I)(rI)e11(Iicu1a. r to that of the topogra)hy. Effects of t. opogra)11y scattering 1)111k illiaging and )11otob1eachu1Ig are fully ta. keii into a. ccounl. for both types of mask patterns. Simulation results illustrate the combined effects of topography scattering and I) ulk iiiaging in iioiipla. na. r pliotoli tliogra. phiy using high iiuinerical aperture optics.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.