In recent years, curvilinear mask technology has emerged as a next-generation resolution enhancement method for photomasks, providing optimal margins by maximizing the degree of freedom in pattern design. However, this technology presents challenges in defining the layout design rule limits based solely on geometric information, such as width, space, and corner-to-corner. With the introduction of multi-beam mask writers for curvilinear pattern production, a distinct set of defects that are difficult to pre-detect by conventional mask rule check have occurred, as these cannot be explained by geometry terms alone. In this study, we propose a deep learning-based mask check method, named mask deep check (MDC) for pre-detect defects in inspection. The proposed vector graphics transformer (VGT) uses the state-of-the-art transformer architecture, drawing an analogy between the vertices of curvilinear patterns and words in natural language. We demonstrate improved performance of VGT-based MDC compared to a traditional rule-based approach and a convolutional neural network-based MDC method. Importantly, VGT exhibits robustness in recall, ensuring that defective patterns are not misclassified as normal, thereby preventing missed defects. Moreover, by employing attention maps to visualize VGT results, we gain explainability and reveal that mask defects may arise from issues related to the fabrication of specific designs, rather than being solely attributable to geometric features. VGT-based MDC contributes to a better understanding of the challenges associated with curvilinear mask technology and offers an effective solution for detecting mask defects.
It is crucial to predict hard failure in photolithography process to determine design rules and process condition in the product development stage. Accurate prediction of hard failures through simulation have powerful effects such as shortening the product development period and improving mass production yield. Previously, parameters used to determine whether a pattern is expected to fail include NILS (Normalized Image Log-Slope), image contrast, or chemical distribution in the photoresist. However, these methods are almost infeasible because the accuracy becomes low as process condition changes and calibration process of chemical distribution is too complicated. In this paper, a novel method using optical parameters and machine learning is proposed to predict hard failures of ADI (After Development Inspection) patterns, and this methodology was evaluated in the process of applying inorganic photoresist.
In recent years, Curvilinear Mask technology has emerged as a next-generation resolution enhancement method for photomasks, providing optimal margins by maximizing the degree of freedom in pattern design. However, this technology presents challenges in defining layout design rule limit based solely on geometric information rules based solely on geometric information such as width, space, and corner-to-corner. With the introduction of Multi Beam Mask Writers for Curvilinear pattern production, brand-new violations of Mask Rule Check(MRC) have occurred, which cannot be explained by geometry terms alone. In this study we propose a deep learning-based method for detecting MRC violations using the state-of-the-art Transformer architecture, drawing an analogy between the vertices of curvilinear patterns and words in natural language. The proposed MRC binary classifier demonstrates improved performance compared to traditional rule-based MRC and CNN-based MRC methods. Importantly, our method exhibits robustness in recall, ensuring that defective patterns are not misclassified as normal, preventing missed defects. Moreover, by employing attention maps to visualize deep learning results, we gain explainability and reveal that MRC violations may arise from issues related to the fabrication of specific designs, rather than being solely attributable to geometric features. This insight contributes to a better understanding of the challenges associated with Curvilinear Mask technology and offers an effective solution for detecting MRC violations.
Patterning, a major process in semiconductor manufacturing, aims to transfer the design layout to the wafer. Accordingly, the "process proximity correction" method was developed to overcome the difference in after-cleaninginspected CD (critical dimension) between patterns of similar shapes. However, its physical model is often limited in the predictive performance. Therefore, recent studies have introduced ML (machine learning) technology to supplement model accuracy, but this approach often has an inherent risk of overfitting depending on the type of sampled pattern. In this study, we present a newly invented flow capable of stable etch-process-aware ML modeling by model reconstruction and large amounts of measurement data. The new modeling flow can also be performed within a reasonable runtime through efficient feature extraction. Based on the new model and its related layout targeting platform, intensive improvements were made to CD targeting and spread; for a given layout, in comparison with delicate rule-based modification, the CD targeting accuracy was improved by 4 times and approaches the limit of metrology error.
Accurate prediction of Jacobian is essential for multi-variable optical proximity correction (OPC). The Jacobian means the small variation of edge placement error (EPE) induced by small mask bias of nearby segments under optical proximity effects. If the Jacobian can be accurately calculated, it is helpful for OPC iteration reduction, or EPE improvement for 2D shape mask patterns. Moreover, if this can be cost-effective, this approach can be easily extended to Full chip level. We changed expensive Jacobian matrix procedure into simple ML based Jacobian model inference. Thanks to efficiently chosen geometric and optical features and light ANN structure, our method can predict Jacobian 76% faster and 81% more accurate than intensity distribution function method. We also improved mask optimization algorithm by inserting small gradient iterations. Our mask optimization solver was 2 times faster than vanilla mask optimization solver. Through this effort, we constructed fast and accurate machine learning assisted mask optimization solver.
Due to limited availability of DRC clean patterns during the process and RET recipe development, OPC recipes are not tested with high pattern coverage. Various kinds of pattern can help OPC engineer to detect sensitive patterns to lithographic effects. Random pattern generation is needed to secure robust OPC recipe. However, simple random patterns without considering real product layout style can’t cover patterning hotspot in production levels. It is not effective to use them for OPC optimization thus it is important to generate random patterns similar to real product patterns. This paper presents a strategy for generating random patterns based on design architecture information and preventing hotspot in early process development stage through a tool called Layout Schema Generator (LSG). Using LSG, we generate standard cell based on random patterns reflecting real design cell structure – fin pitch, gate pitch and cell height. The output standard cells from LSG are applied to an analysis methodology to assess their hotspot severity by assigning a score according to their optical image parameters - NILS, MEEF, %PV band and thus potential hotspots can be defined by determining their ranking. This flow is demonstrated on Samsung 7nm technology optimizing OPC recipe and early enough in the process avoiding using problematic patterns.
Verification of full-chip DSA guide patterns (GPs) through simulations is not practical due to long runtime. We develop a decision function (or functions), which receives n geometry parameters of a GP as inputs and predicts whether the GP faithfully produces desired contacts (good) or not (bad). We take a few sample GPs to construct the function; DSA simulations are performed for each GP to decide whether it is good or bad, and the decision is marked in n-dimensional space. The hyper-plane that separates good marks and bad marks in that space is determined through machine learning process, and corresponds to our decision function. We try a single global function that can be applied to any GP types, and a series of functions in which each function is customized for different GP type; they are then compared and assessed in 10nm technology.
Advances on techniques that enable small technology nodes printing benefit the lithography with cost. For instance, lens heating draws people's attention when the NTD process is applied together with the bright tone mask. And the study of it requires the investigation of many other variables. In this paper we examine individual impact of several closely related process variables to understand the lens heating behavior. Meanwhile, though it is known that the PTD process is less sensitive to the lens heating effect, we do observe mask topography induced best focus shifts among different patterns with small spaces. It is of interest to discover the extent to which the NTD is affected. Thus in this paper we also compared the two processes with respect to the mask topography effect by simulating the best focus shifts of a series of test patterens.
Most important factors in OPC model building will be sampling data for model calibration.
We will demonstrate that how CD-AFM data can be used in OPC modeling and will show possibility to get a more predictive model by using CD-AFM data.
More complex source and mask shapes are required to maximize the process window in low κ1 era. In simulation, the improvement can be shown well with ideal source and mask shapes. However imperfection of the source and mask can cause critical dimension (CD) errors and results in smaller process margin than expected one. In this paper, it is shown
that how process margins can be improved with different source and mask complexities. Also the effect of source and
mask complexities on CD errors and process margin degradation is discussed. The error source of the electron beam
mask pattern generator is investigated and used for mask CD uniformity estimation with different mask complexity.
Model-based Optical Proximity Correction (OPC) is widely used in advanced lithography processes. The OPC model
contains an empirical part, which is calibrated by fitting the model with data from test patterns. Therefore, the success of
the OPC model strongly relies on a test pattern sampling method.
This paper presents a new automatic sampling method for OPC model calibration, using centroid-based clustering in a
hybrid space: the direct sum of geometrical sensitivity space and image parameter space. This approach is applied to an
example system in order to investigate the minimum size of a sampling set, so that the resulting calibrated model has the
error comparable to that of the model built with a larger sampling set.
The proposed sampling algorithm is verified for the case of a contact layer of the most recent logic device.
Particularly, test patterns with both 1D and 2D geometries are automatically sampled from the layer and then measured
at the wafer level. The subsequent model built using this set of test patterns provides high prediction accuracy.
A negative tone development (NTD) process has been considered as apromising candidate for the smaller contact
solution due to the remarkable image quality over a positive tone develop (PTD) process. However, it has not been
investigated why NTD has higher optical performance than PTD yet. In this paper, image log slope (ILS) and mask error
enhancement factor (MEEF) of binary and phase shift masks (PSM) are investigated with considering mask bias, target
critical dimension (CD) and pattern pitch. It is found that the irradiance slope is steep and wafer CD variation from mask
CD variation is small when the target CD is relatively smaller than pattern pitch. Mathematical model is derived to
analyze image quality of binary mask and PSM.Three-dimensional mask effect is also considered with rigorous
simulation.
DRAM chip space is mainly determined by the size of the memory cell array patterns which consist of periodic
memory cell features. Resolution Enhancement Techniques are used to optimize the periodic pattern process
performance. This is often realized with aggressively coherent illumination sources supporting the periodic pattern
pitch only and making an array edge correction very difficult. The edge can be the most critical pattern since it
forms the transition from periodic patterns to non periodic periphery, so it combines the most critical pitch and
highest susceptibility to defocus. Non functional dummy structures are very effective to support the outermost
edge but are very expensive, so their reduction or avoidance directly increases chip space efficiency.
This paper focuses on how to optimize the DRAM array edge automatically in contrast to manual optimization
approaches that were used effectively but at high cost. We will show how to squeeze out the masks degrees of
freedom to stay within tight pattern tolerances. In that way we minimize process variations and the need of
costly non-functional dummy structures. To obtain the best possible results the optimization has to account for
complex boundary conditions: correct resist effect prediction, mask manufacturability constraints, low dose, low
MEEF, conservation of symmetries and SRAF printing, simultaneous optimization of main features and SRAFs.
By incorporating these complex boundary conditions during optimization we aim to provide first time right
layouts without the need for any post processing.
In this paper, we discuss the accuracy of resist model calibration under various aspects. The study is done based on an
extensive OPC dataset including hundreds of CD values obtained with immersion lithography for the sub-30 nm
node. We address imaging aspects such as the role of Jones matrices, laser bandwidth and mask bias. Besides we focus
on the investigation on metrology effects arising from SEM charging and uncertainty between SEM image and feature
topography. For theses individual contributions we perform a series of resist model calibrations to determine their
importance in terms of relative RMSE (Root Mean Square Error) and it is found that for the sub-30 nm node they all are
not negligible for accurate resist model calibration.
In this paper, new metric, acid concentration distribution image log slope (AILS) is suggested to predict pattern failure in
photo lithography. By introducing AILS, pattern fidelity can be determined as numbers. With evaluating at the top 10%
and bottom 10% of photo resist, various kinds of pattern failures are categorized and they can be predicted to be failed or
not. The simulation results are compared with wafer experiment results and shows great prediction accuracy. In order to
evaluate hot spot regarding pattern failure in all possible pitch and duty ratio, in-house image quality analysis tool is used
and compared with wafer experimental results. Minimum normalized AILS (NAILS) to cause pattern bridge is larger
than that to cause lift off. Both pattern failures are dependent of AILS and CD but the effect of CD on pattern failure is
stronger than AILS's
Near-field induced polarization imaging with a solid immersion lens (SIL) is used to provide high lateral resolution for both native and induced polarization (cross polarized) images. A new technique is used to obtain height information from the near-field induced polarization image. An AltPSM mask sample is studied with this imaging technique, and compared to imaging with an AFM and a PSI interferometer. Topological data from the near-field induced polarization image are within a few nanometer of the AFM result, without contacting surface. In addition, features due to undercutting the Cr are observable in the induced polarization image.
Image contrast enhancement, resolution improvement and accurate height information are obtained by near-field induced polarization imaging using a solid immersion lens (SIL) microscopy. A semiconductor PC processor is investigated by this imaging technology. With 520nm linear polarization illumination, around 100nm feature size is resolvable, and topographical information is also achieved from this induced polarization image. We demonstrate this near-field induced polarization imaging is a fast acquisition, large field and high resolution metrology solution.
There are several next generation technologies for high resolution lithography, such as ArF wet immersion, F2, EUV, etc. However, these technologies are very expensive because of projection lens and mask costs. Near-field optics using a solid immersion lens (SIL) can meet the requirement of high resolution in a cost-effective way. In this paper, a very compact and inexpensive high resolution system using a SIL is introduced and preliminary experimental results are presented using a 405nm laser diode system. The SIL is used with a modified conventional inverted microscope. The air gap between the SIL flat bottom surface and the wafer is kept less than 50nm. Optical reflected power from SIL bottom and wafer interface is used to control the gap. A high resolution experiment with 405nm wavelength is discussed.
In this paper, the influence of dose modulation on CD trend by using electron beam exposure model has been investigated and simulated. To predict CD trend, we developed an analysis program, which shows the exposed energy profile and the corrected CD distribution in mask. First, it calculates the factor of fogging effect correction (Df) from pattern density distribution with the assumption that fogging effect depends on only pattern density. And then it calculates the modified dose for correcting both proximity and fogging effect. From dose distribution, the corrected CD is calculated analytically by using e-beam lithography model: see Figure 1. It can give a glance how the dose modulation method has an influence on the CD uniformity. Moreover, the result of global error correction such as side, radial error at the mask writing stage has been analyzed in this study.
Mask critical dimension (CD) errors are analyzed in case fogging effect is corrected by dose modulation method with comparison of measurement and simulation. In the test mask, an extreme condition from pattern density 0% to 100% is applied for making fogging effect. On the ground of the utmost pattern densities which is one of the factors of fogging effect, various mask CD errors are observed with optical measurement in spite of fogging correction. Each error factor is distinguished from whole mask error using electron beam simulator which is adopting Monte Carlo (MC) calculation for electron scattering modeling, proximity effect correction (PEC) and even fogging effect correction. From error analysis, 3 kinds of mask error are observed. The first CD error is from an inaccurate modeling of fogging effect, the second is from fogging correction program. The third is error from development loading effect. The two formers are comparatively less important than the latter because they can be soluble problems by careful selection of fogging model or improvement of computing systems. However, error from develop loading effect is hard to solve so that not only chemical but also fluid mechanical approach is needed.
In order to analyze a simultaneous correction of fogging and loading effect, the e-beam lithographic simulation was performed with dose modulation method. The in-house e-beam simulator which adopts Monte-Carlo method for electron scattering is used for performing Proximity Effect Correction (PEC) and fogging correction during the e-beam
lithographic processes. Various values of theta, representative parameter which describes the deposited energy by fogging, are used for simulation. Fogging effect is well known phenomenon which is the additional energy deposition into large exposed area by second electron scattering, and this fogging correction is successfully achieved by dose modulation method. However, etch loading cannot be compensated properly by modulating dose due to its unique
property. From the simulation results, it is obviously necessary to correct etch loading effect and fogging effect simultaneously in order to cure global and local CD errors. The bigger loading effect is, the bigger local CD error induced by dose modulation method is to be generated. This global error is reducible but irremovable perfectly owing the discrepancy between the property of etch loading effect and dose modulation. However, the proper selection of eta,
the ratio of the energy deposition into resist from the back scattering electrons verse the forward scattering electrons, can remarkably reduce the global and local CD errors. As a consequence, the method of the dose modulation is not the perfect way to correct the CD errors induced by etch loading or positional induced error. Nevertheless, the dose modulation method with variable eta can be an alternative way to control the designed CD because of its precision and rapidity.
As the feature size of integrated circuits shrinks, the demands for the critical dimension (CD) uniformity on wafers are becoming tighter. In the era of low k1, moreover, mask CD uniformity should be controlled even more stringently due to the higher mask error enhancement factor (MEEF). Mask CD non-uniformity can originate from several sources which include photomask blanks and mask-making processes (exposure, post-exposure bake (PEB), development, and etch processes). Analyzing the CD error sources and eliminating the origins are very important tasks in optimization of mask-manufacturing processes. In this paper, we focus on the side error in mask CD uniformity and present a simple method for separating and evaluating the origins. Especially, quantitative analysis of the side errors induced by photomask blanks and mask-making processes, respectively, is given. Photomask blanks are found to be one of the main sources of the side error and it is shown that the temperature distribution of the PEB process during the ramp-up as well as the stable period should be maintained uniformly for chemically amplified resist (CAR) blanks in order to reduce the process-induced side error.
To achieve higher resolution and critical dimension (CD) accuracy in mask fabrication, 50KeV E-beam systems are used widely. However, as a high acceleration system is adapted, the degree of fogging effect caused by multi-scattering electrons becomes more serious. Although considerable efforts have been made, fogging effect cannot be removed perfectly, therefore several compensation techniques are applied instead. Fogging effect not only deteriorates CD uniformity but also makes mean to target (MTT) control difficult. Moreover, Fogging effect causes proximity effect correction (PEC) error according to PEC methods such as dose modulation type usually used in variable shaped beam (VSB) system and GHOST type commonly used in Gaussian beam system. In this paper, we investigated the fogging effect under the various exposure conditions at raster scan Gaussian beam system and VSB system experimentally and analytically.
An analytical approach to X-phenomenon in alternating phase-shifting masks is given in the framework of the thin-mask approximation. We present an analytical expression for the focus-dependent intensity imbalance between 0° and 180° phase regions when there exists relative phase error. It is shown that X-phenomenon results from the interference between 0th diffracted order, which originates from the phase error and has an in- or out-of-phase component with respect to the ±1st diffracted orders depending on the defocus directions, and the ±1st diffracted orders. Dependences of the intensity imbalance on the phase error and the duty ratio of the structure are given.
Recently, the interest in enhancement of critical dimension (CD) accuracy has been significantly increased to satisfy requirements of sub 100nm devices. Proximity effect correction becomes an indispensable choice to improve CD accuracy within local area, and fogging and loading effects compensation has been tried to enhance global CD uniformity. However, proximity effect correction (PEC) parameters obtained without considering additional exposure such as fogging effect and the exposure to compensate it are not appropriate to fabricate real devices. In this paper, we investigated the relation of PEC parameters and various pattern densities and additional exposure experientially, analyzed theoretically using the edge image model to describe absorbed energy. Through evaluations, we could optimize proximity effect correction parameters for EBM-3500 taking additional exposure into account, and realize higher CD accuracy in mask fabrication.
Assist features are recently employed in high density devices. But the application seems to be burdening to mask manufacturers. In this paper, considerations for making masks bearing assist features are discussed. A mask grid size, minimum resolution, CD linearity, pattern fidelity, and mask inspectability are among those considerations. For a 0.13 micrometer node, the grid size <EQ 5 nm (4X) is recommended according to our simulation. A high acceleration voltage (50 keV) e-beam writer is found to be a good tool for 0.26 micrometer (4X) assist features necessary for 0.13 micrometer node. A currently available inspection machine should give a good potential to detect defects on a 0.18 micrometer (4X) assist feature bearing mask.
In low k1 optical-lithography generation, there is a non- linear amplification of mask critical dimension (CD) error during image transferring on a wafer. This error factor is called mask error enhancement factor (MEEF). In ArF system, it is premature to use commercialized simulation softwares and ArF resists. To evaluate the real resist system, we made a simple model that uses aerial images. Through the simulation, we calculated MEEFs for 180nm and 130nm line/space pairs in KrF resist system and compared them with experimental MEEFs to obtain the resist blur that satisfies the current experimental MEEFs. With a current KrF resist system, the resist blur is ~0.05 micrometers . In case of ArF resist system, the resist blur is a more important factor in MEEF and must be suppressed to lower than 0.05 micrometers to meet the proper process margin.
Chemically amplified resists (CAR) which are widely used in KrF lithography are recently employed to e-beam mask making for its high sensitivity and high performance. The high sensitivity of CAR is attractive in a 50keV variable shaped e-beam system for reducing heating effect and improving throughput problems. As the device shrinkage is accelerated, superior mask process has highly been requested in the 10keV system as well. To cope with these requests, the feasibility of a CAR in a 10keV e-beam system has been investigated through comparison with a typical resist for 10keV, PBS. The difference of each resist potentiality between CAR and PBS results in a contrast superiority. As CAR uses an aqueous developer, the development induced error can be reduced owing to its good develop stability and a high evaporation heat. As a result, more accurate CD control can be achieved. The CD linearity and dose margin with the CAR are comparable to or better than those with the PBS. It is concluded that the CAR has various advantages over PBS and can supersede the PBS in a 10keV e-beam system.
As optical lithography error budgets on pattern displacement become more and more stringent for features as small as <180 nm, overlay control will be one of the top challenges facing lithography in the future. However, mask induced error budgets are less considered than uniformity of a mask. In this paper, we demonstrate a pattern displacement caused by stress induced distortion, in-plane distortion(IPD) values of chrome-on-glass (COG) and phase-shift-mask (PSM) blanks, and overlay errors. The magnification after AR/Cr layer removal for a COG is 0.37 ppm, which corresponds to an IPD of 33 nm across 100 x 100 mm2 area. The IPD for PSM corresponds to 43 nm across 100 x 100 mm2 area with 0.48 ppm. The IPD for PSM increases dramatically with increasing open ratios, while that for COG only slightly increases. It is found that mix-match between steppers and scanners should be avoided as long as COG mask and PSM are mixed because errors such as skew and scan direction magnification are uncorrectable with steppers.
Proposed high throughput electron beam systems require a large current, which intrinsically degrades the image quality due to Coulomb interaction effect. For that reason, the maximum achievable beam current is determined by the resolution required. Considerable efforts have been devoted to determine the beam blurs in electron beam systems. However, since measurement of the beam blur is highly difficult, we suggest three beam blur measurement methods in this paper: using process latitude, SEM resist figures and dot mark scan data. Although the results from these three methods do not agree exactly, it is possible to estimate beam blur, 90 nm 120 ran in mask writing system, EBM-3000 (Toshiba).
In mask-making process with e-beam lithography, the process capability is usually affected by exposure profile, resist contrast and development process. Dose latitude depends significantly on these three parameters. In this work, dose latitude between different resist contrasts has been experimentally studied as a function of linewidth, dose, beam size and over development magnitude using commercial PBS and ZEP 7000 resist on a photomask with 10 keV exposure. It has been found that ZEP 7000 resist with high contrast shows lower dose latitude, more sensitivity to the variation of linewidth, dose and beam size except for over development magnitude due to its relatively longer development time.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.