Post exposure bake (PEB) is the most important process for chemically amplified resist to make nano-scale device.
According to 2007 ITRS roadmap, critical dimension (CD) should be controlled below 1.9 nm on sub-22 nm half
pitch in whole process of semiconductor. But CD error can be happened during the whole processes of exposure,
PEB, develop, and etching. For this study, we assumed PEB process is just one of four processes, so that we take
arithmetic mean error of four process, namely, ~ 0.5 nm (1.9 nm / 4) CD error should be controlled during PEB,
even though PEB is the critical processes for CD control. 1 degree PEB temperature difference would make 3 nm
CD change, so that we should control the temperature variation below 0.2 degree to control CD variation within 0.5
nm for 22 nm node. However, temperatures on the whole hot plate is not perfectly uniform. The temperature at the
heat source is higher than that at the position with no heat source. Such a temperature difference inside hot plate
would be directly transferred to the wafer and eventually inside the photoresist. Thus the temperature distribution
inside the whole photoresist would be non-uniform, and this would make non-uniform CD distribution eventually.
We calculated the temperature distribution within the hot plate in accordance with the position and structure of heat
source. We also calculated the temperature distribution inside photoresist by considering the heat conduction. In
addition to that, we estimated the possible CD variation caused by the non-uniform temperature distribution within
photoresist on wafer.
50 nm random contact hole array by resist reflow process (RRP) was studied to make 32 nm node device. Patterning
of smaller contact hole array is harder than patterning the line and space. RRP has a lot of advantages, but RRP strongly
depends on pattern array, pitch, and shape. Thus, we must have full knowledge for pattern dependency after RRP, and
then we need to have optimum optical proximity corrected mask including RRP to compensate the pattern dependency in
random array.
To make optimum optical proximity and RRP corrected mask, we must have better understanding that how much resist
flows and where the contact hole locations are after RRP. A simulation is made to correctly predict RRP result by
including the RRP parameters such as viscosity, adhesion force, surface tension and location of the contact hole. As a
result, we made uniform 50 nm contact hole patterns even for the random contact hole array and for different shaped
contact hole array by optical proximity corrected RRP.
Microlithography has shown an amazing development over the last decade and has continued to be one of the critical
success factors for enabling ever smaller feature sizes. The fabrication of leading edge devices strongly relies on the use
of chemically amplified resist, where the post exposure bake (PEB) is among the most important process steps for
obtaining smaller feature size with better linewidth control. PEB sensitivity is defined as the dependency of pattern size
(or critical dimension, CD) variation on the perturbation of the PEB temperature and time throughout this paper. From
the beginning of ArF (193 nm) lithography, PEB sensitivity becomes serious problem because ArF photoresist shows
very severe dependency on PEB temperature and time. PEB sensitivity relies largely on photo-generated acid diffusion.
If acid diffusion can be effectively controlled, PEB sensitivity will be improved. As pattern size decreases for a higher
density device, this variation can be more than 10% of target CD. Therefore, PEB sensitivity and diffusion length
becomes very important property for sub-90 nm pattern. This paper demonstrates the effect of acid diffusion length for
each PEB temperature and time for the mask types of attenuated and chromeless phase shift mask. Differences can
between the attenuated and chromeless phase shift masks as functions of PEB temperature and time and develop time.
We compared the acid diffusion lengths as a function of PEB time. And we calculated acid distribution as functions of
PEB time and diffusion length. CD uniformity, thickness loss and exposure latitude are also compared.
The crystal growth and haze formation on the reticle continue to be significant problems for the semiconductor industry. Recently, a pattern size has gradually reduced to enhance the integration of semiconductor device. As minimum linewidth has shrunk, the exposure wavelength has also progressively shrunk. The exposure wavelengths have been reduced progressively from g-line (436 nm), i-line (365 nm), KrF (248 nm), to ArF (193 nm). However, expose wavelength shrink caused some serious problems. One of the problems to be solved is growing defect in the reticle during the process. This growing defect on the reticle is called the haze. The haze is formed on both sides of the reticle, on the quartz side of the mask and on the chrome side of the mask. In this investigation, we varied the local haze defect size and the characteristics of the haze defect. And we get the critical dimension and the exposure latitude variation as the haze transmission changes and the haze phase shifts.
Chemically amplified resist materials are now available to reach critical dimensions of the pattern close to 32 nm values.
Pattern collapse is a very serious problem in fine patterning less than 32 nm critical dimension, because it decreases the
yield. The pattern collapse is the pattern response to unbalanced capillary forces acting on the pattern walls during the
spinning drying step after development process. Centrifugal force has not considered for pattern collapse modeling up to
now, so that pattern collapse due to spinning is studied. In this study we investigate the 32 nm node pattern collapse
mechanism with radial distance and rinse speed of dense patterns. In the process of creating the simulation tool, the
rotating model is used. As rinse speed and radial distance are increased, critical aspect ratio is decreased. As a result,
pattern collapse is increased.
Pattern collapse for line widths under 32 nm printed by extreme ultra-violet lithography (EUVL) is investigated by using commercial tools. Pattern collapse phenomenon occurs very often in actual process. Pattern collapse means that pattern is bending, peel-off, and break of the resist, thus it affects the production and yield of semiconductor. In this paper, we newly defined and investigated the critical aspect ratio. Pattern collapse happens if the critical aspect ratio is smaller than aspect ratio. Because EUV resist has smaller adhesive strength than currently available DUV and ArF resists, EUV resist easily collapse more easily than DUV resist does. This phenomenon is successfully modeled.
Making a sub-100 nm contact hole pattern is one of the difficult issues in semiconductor process. Compared with
another fabrication process, resist reflow process is a good method to obtain very high resolution contact hole. However
it is not easy to predict the actual reflow result by simulation because very complex physics and/or chemistry are
involved in resist reflow process. We must know accurate physical and chemical constant values and many fabrication
variables for better prediction. We made resist reflow simulation tool to predict approximate resist reflow as functions of
pitch, temperature, time, array, and so on. We were able to see the simulated top view, side view and the changed hole
size. We used Navier-Stokes equation for resist reflow. We had varied the reflow time, temperature, surface tension, and
3-dimensional volume effect for old model. However the photoresist adhesion is another very important factor that was
not included in the old model. So the adhesion effect was added on Navier-Stokes equation and found that there was a
distinctive difference in reflowed resist profile and the contact hole width compared to the case of no adhesion effect.
Each generation of semiconductor device technology drives many new and interesting resolution enhancement technologies (RET). As minimum feature size of semiconductor devices have shrunk, the exposure wavelength has also progressively shrunk. The 193 nm lithography for low-k1 process has increased the appearance of progressive defects on masks often known as haze or crystal growth. Crystal growth on a mask surface has become an increasing issue as the industry has adopted a 193 nm wavelength in order to increase lithographic resolution and print ever decreasing device line width. Haze is known to be a growing defect on photomask as a result of increased wafer lithography exposure and photochemical reactions induced by combination of chemical residuals on the mask surface. We build experimental system to create and detect the haze growth. A photomask is enclosed in a glove box where the atmosphere and exposure conditions are controlled and monitored throughout the exposure processing. A test photomask is exposed to accumulate the dose of laser radiation. And then spectroscopic ellipsometry and metallographic microscope techniques are used to check the surface conditions of the masks before and after the laser exposure. We found that spectroscopic ellipsometry measurement values of Δ and Ψ were changed. The results of the spectroscopic ellipsometry analysis show the change of the haze thickness on mask surface. Thickness and roughness of the mask surface is increased with the exposure. This means that haze grows on the mask surface by the exposure. Masks become useless due to transmission loss or defect generation, which is directly related to the formation of the haze. The haze causes the increase of mask thickness, transmission drop and affects the formation of pattern. So, we investigated the linewidth variation and the process window as a function of haze size effect with Solid-E of Sigma-C.
Recently, a pattern size gradually has reduced to enhance the integration of semiconductor device. As minimum linewidths have shrunk, the exposure wavelength has also progressively shrunk. The exposure wavelengths have been reduced progressively from 436 nm to 365 nm to 248 nm to 193 nm. Expose wavelength shrink caused some serious problems. One of the problems to be solved is growing defect in the reticle during the process. Reticle growing defect is called a haze. Haze is formed around the pellicle, on the quartz side of the mask and on the chrome side of the mask. In this investigation, mask haze is intentionally formed on the backside of mask by 193 nm laser irradiation. And the thickness is measured by the spectroscopic ellipsometry. This paper describes the relationship between transmittance and the haze formation, photochemical reactions and the haze effect on the process latitude. In addition, throughput is decreased due to haze formation.
Resolution enhancement technology (RET) refer to techniques that extend the usable resolution of an imaging system without decreasing the wavelength of light or increasing the numerical aperture (NA) of the imaging tool. Off-axis illumination (OAI) and phase shift mask (PSM) are essentially accompanied with optical proximity correction (OPC) for most devices nowadays. In general, these three techniques do not work in isolation and the most aggressive mainstream lithography approaches use combinations of all RETs. In fact, OAI and PSM are essentially useless for typical chip-manufacturing applications unless accompanied by OPC. For low k1 imaging, strong OAI such as Quasar or dipole illumination types is the best. We used dipole illumination in this study. By using strong OAI, the amplitude of the 0th order is decreased and the amplitude of the 1st order is increased. Chromeless phase lithography (CPL) is one of PSM technologies and CPL mask is the possible solution for small geometry with low mask error enhancement factor (MEEF). CPL uses only 180 degrees phase-shifter on transparent glass without chromium film to define light-shielding region, destructive interference between light transmitted through the 0 degree and 180 degrees regions produces dark images. To obtain the best resolution, proper OPC is required with CPL. While the most common and straightforward application of OPC is to simply move absorber edges on the mask by giving simple mask bias, the interesting and important additional technique is the use of scattering bars. Also, we can use zebra patterns for the transmission control. Mask intensity transmission changes can impact the image quality. Zebra patterns are formed by adding chromium transverse features. The transmission will be controlled by the zebra pattern density. Technology node with ArF source is studied and the mask optimization is found to be a critical. And the linewidth of scattering bars, transmission (using zebra feature) are varied at line and space (L/S) patterns. We used 65 nm node 5 L/S and 45 nm node isolated line pattern. In order to optimize the zebra pattern density, we need to control the line width and pitch of the zebra patterns. For dense line and isolated line, the use of scattering bars and zebra patterns affected target critical dimension. We found out the better process window at dense 65 nm node by comparing the use of scattering bars with zebra patterns. Likewise, we optimized the isolated 45 nm node.
Haze formation on reticle continues to be a significant problem for the semiconductor industry. Haze can be formed on the outside pellicle and on the quartz back side of the reticle. Major component of the haze is known to be aluminum sulfate that comes from the reticle cleaning process. The reticle materials, the exposure wavelength, roughness of photomask and this haze will affect the resolution and process latitude. So the haze on the mask surface becomes more important. We need to know the usable lifetime of the reticle in terms of haze and need to know how to increase the
lifetime by removing the haze, if possible. This paper introduces the haze measurement method by using the spectroscopic ellipsometry. The quantity of the haze including the roughness of the reticle can be accurately measured by the spectroscopic ellipsometry. The spectroscopic data shows the increase of the delta value with the energy dose given to the reticle. We confirm that this signal increase is directly the result of the haze increase with dose.
The most important issue in lithography as a semiconductor process is to obtain the minimum resolution. In order to obtain the minimum resolution with processible depth of focus, the numerical aperture is gradually increased and the exposure wavelength is also decreased. The effect of aberration is also increased as a result. It was not much needed to consider the aberration effects for the critical dimensions (CD) greater than around 300 nm. However, it is greatly necessary to consider the effect of aberration for CDs smaller than 100 nm in order to obtain the best process condition. The purpose of this study is to evaluate the aberration effect of the projection system for the specified node and shape of pattern. Evaluation is made by comparing the various aberration effects for the different exposure wavelengths, different shapes such as isolated, line and space, contact hole and L-shaped patterns, and also for the duty ratio by using commercial lithography simulator, SOLID-C [1].
It is expected that technological needs will increase to create tinier patterns, since the information communication society requires the massive capacity semiconductor. Reducing the wavelength of the light source is the most powerful method for improving ever-decreasing the minimum feature size of the semiconductor device. 193 nm ArF excimer laser source will be followed by 157 nm F2 excimer laser source for the next generation device down to 32 nm line width. Also, it is predicted that the technology of 157 nm ultra vacuum violet light for super fine pattern formation will be essentially used in memory or logic semiconductor manufacturing, their parts and process development. 157 nm interference imaging lithography system will be built with direct support of 157 nm resist and its process development. A circumstance that reduces the absorption rate due to air, water, O3, and other gases must be made. So, the entire system is enclosed in a N2 purged. The optical interference system was constructed after investigating various optical materials, and it enabled us to test various chemical materials to produce a real pattern by implementing the existing optical system. By using the illumination system that uses Lloyd's mirror interference type, simple interference line and space pattern was obtained.
Simulation has been used to predict the aerial images for masks with defect free multilayer and with defect in multilayer. Mask defects are easily produced in extreme ultraviolet lithography mask fabrication process because 40 Mo/Si multilayer films are stacked and each stack is made from 2 to 4 nm. In this case, multilayer can be stacked with defects and with slightly different heights. It is hard to achieve an aerial image which we want to get. This paper discusses various image properties when there are no defects and when there are different kinds of defects on multilayer. The results were calculated by using SOLID-EUV of Simga-C. The aerial images caused by defects on the multilayer are characterized.
A full lithography simulation has become an essential factor for semiconductor manufacturing. We have been researching all kinds of problems for lithography process by creating and using our own simulation tool, which has contributed to extracting parameters related to exposure, post exposure bake, and development. Also, its performance has been proved in comparison with other simulation tools. In this paper, our lithography simulator and some of its features are introduced. For its benchmark, we describe our own simulator’s performance and accuracy for whole resist process by the comparison of a commercial tool. The sensitivity of process parameters and process latitude due to its parameters are discussed.
It is important that more accurate process parameters are extracted to predict the results of each process by simulation. It is well known that both refractive index and absorption coefficient of photoresist (PR) are varied when the thickness of PR is changed during post exposure bake (PEB) process due to the de-protection of polymer and decrease of free volume. We applied fast scanning rotating compensator spectroscopic ellipsometry (RCSE) to PEB parameters extraction of chemically amplified resist (CAR). It is possible to analyze thin film properties such as refractive index with respect to each exposure wavelength. But we only used the obtained resist thickness change data of exposed CAR before and after PEB by using RCSE in order to find out the easiest way of extracting correct PEB parameters. The decreasing of PR thickness during PEB was measured. Exposure and PEB conditions were changed for various RCSE measurements and the differences of the optical and physical properties were used to extract the PEB parameters; kamp, klossand activation energy of de-protection. This method can be easily adopted in a normal fab and lab so that one can easily determine the correct PEB parameters.
In order to make next generation ultra large scale integrated circuits, we have to form sub- quarter micron patterns. Among the several lithographic choices, the enhanced optical lithography techniques of using deep UV sources are strong candidates. The aerial image study shows the combination of annular illumination, binary intensity mask and pupil filter can be applied to all kinds of patterns. This optimum combination is applied to the resist profile study to find out the process latitude. We also investigated the process latitude variation by changing the sublayers such as anti-reflection coating, polysilicon, oxide and nitride.
The halftone mask, also called the attenuated phase shifting mask, is assumed to be a preferred candidate among many types of masks since it can be applied to all feature types and it is relatively easy to fabricate. We studied the process latitude of the halftone mask with normal illumination and the combination of the halftone mask with off-axis illumination by computer simulation, the fabrication of the halftone mask, and exposure with an i-line stepper. The greatest improvement of process latitude can be achieved for contact hole pattern when the halftone mask is used. The isolated space and the isolated line pattern show minimal gain by the halftone mask or the off-axis illumination, but the line/space pattern can be made by the off-axis illumination. The process latitude can be enlarged by the proper mask bias and the aspect ratio.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.