Polymers with a different Tg and activation energy were prepared to clarify influences of acid diffusion on resolution at 15 nm half-pitch (hp) and 14 nm hp using a EUV micro-field exposure tool (MET) at LBNL. Resolution on such a narrow pattern was limited by collapse and pinching. Clear relationship between pinching numbers and polymer Tg indicates that acid diffusion is one of major contributors on the pinching. In addition, polymers with a low thermal activation energy (Ea) on deprotection were effective for reducing pinching. This is probably originated from its high chemically amplification character even in low post-exposure bake (PEB) temperature to obtain both large chemical contrast and short acid diffusion. On the other hand, a good correlation between a cleanable outgassing amount and Ea indicates trade-off relationship between outgassing and resolution. Advantages of n-butyl acetate (nBA) developer have been investigated in viewpoint of dissolution uniformity. Surface roughness of a non-patterned resist film at half-exposed area, which was well correlated with LWR, was measured by AFM as indicator of uniformity in development process. To avoid any differences in resist chemistry other than development process, cross linking negative tone resist was applied for this study. The surface roughness obtained by nBA, which is conventional negative-tone imaging (NTI)
developer, was 32 % lower than that obtained by 2.38 % TMAH solution. NTI resist system with a nBA developer and optimized resist reduced LWR from 4.8 nm to 3.0 nm in comparison with conventional positive tone resist with a 2.38 % TMAH developer. In addition, advantage on semi-dense trench patterning was well defined. New EUV sensitizer with 1.15 times higher EUV absorption resulted in 1.15 times higher acid yield by EUV exposure. Lithography performance of the new EUV sensitizer has been investigated by MET at SEMATECH Albany. Sensitivity was indeed improved from 20 mJ/cm2 to 17 mJ/cm2 according to the acid yield increase, but resolution was significantly degraded.
Chemically amplified resist materials with a different sensitivity were prepared to investigate impact of sensitivity on
resolution at 15 nm half-pitch (hp) using a EUV micro-field exposure tool (MET) at SEMATECH Berkeley. Sensitivity
at least slower than 30 mJ/cm2 was required to resolve 15 nm hp patterns using current EUV resists. It is noteworthy that resolution of 15 nm hp was limited by not only pattern collapse but also pinching of patterns. The same tendency is observed in E-beam patterning at 20 nm hp. A strong relationship between pinching and sensitivity in E-beam exposure indicates contribution of photon-shot noise on the pinching. Clear correlation between diffusion length and pinching using the E-beam exposure indicates that acid diffusion is another contributor on the pinching. Bound PAG into polymer and molecular PAG with a big anchor group showed almost same character on pinching. Key conclusion here is even in a molecular PAG, we can control acid diffusion to achieve 15 nm hp resolution capability. Strategy to improve sensitivity is to utilize resist with high deprotection efficiency. Polymer with a low thermal activation energy on deprotection (low Ea polymer) was demonstrated as a key technology to achieve 15 nm hp resolution with a faster sensitivity below 26 mJ/cm2. Special rinse material was effective for reducing LWR by ~ 20%. Sensitivity dependency of outgassing have been systematically discussed at first. A good linear correlation between a cleanable outgassing amount and exposure energy strongly indicates tradeoff relationship between outgassing and sensitivity. Applying a new EUV topcoat to resist demonstrated reduction of outgassing from 7.39 nm to below 0.1 nm with maintaining resolution.
The influence of resist formulation parameters on line width roughness (LWR) and line edge roughness (LER) were studied systematically. Studied parameters were photoacid generator (PAG) loading, blended versus polymer bound PAG type, PEB temperature, molecular weight of polymer, quencher pKb, molecular size, hydrophobicity, and acid amplifier effect. We found an optimum PAG loading point and post-exposure bake (PEB) temperature. Blending and bound-PAG types gave a similar LWR number at the optimum loading, however, bound-PAG needed much larger dose to size. There was an optimum Mw, below which gave worse LWR, and above which required a larger dose to size. , It was difficult to see a difference of LWR for different types of quencher, however, there was a weak trend of better pattern profile with less basic quenchers. The resists that had acid amplifier in them gave a worse pattern profile and LWR number. From these studies, we found that optimization of PAG loading and quencher type are very important, and baking process optimization is also very important to obtain the best LWR number.
The advantages of NTI process in EUV is demonstrated by optical simulation method for 0.25NA and 0.33NA illumination system with view point of optical aerial image quality and photon density. The extendability of NTI for higher NA system is considered for further tight pitch and small size contact hole imaging capability. Process and material design strategy to NTI were discussed with consideration on comparison to ArF NTI process and materials, and challenges in EUV materials dedicated to NTI process were discussed as well. A new polymer was well designed for EUV-NTD process, and the resists formulated with the new polymer demonstrated good advantage of resolution and sensitivity in isolated trench imaging, and 24 nm half pitch resolution at dense C/H, with 0.3NA MET tool.
Design considerations for ultraviolet-nanoimprint lithography resists were investigated focusing on the major issues of ink-jetting performance, pattern formability, release property, and dry etching resistance. Regarding ink-jetting performance, small droplet ink-jetting of 0.7 pl was successfully demonstrated by adjusting the resist fluid property to the ink-jet coater and controlling the resist volatilization. Regarding pattern formability, a resist pattern was imprinted from a mold pattern of 28 nm in width and 60 nm in depth without pattern dimension change. It was thought that modulus control of the resist was more important than resist shrinkage in achieving excellent pattern formability. As for release property, resist with fluorine monomer and with nonreactive fluorine antisticking agent were compared. The results indicated that resist design has the capability to both reduce separation force and maintain a clear mold surface. The mold release agent decomposed with an increasing number of imprint shots, but the low release-force resist with nonreactive antisticking agent was able to control degradation of the mold release agent and thus improve release property endurance. Regarding etching resistance, it was found that increasing the ring parameter of resist is essential for high etching resistance, and resulted in improved etched pattern features of the substrate.
Design considerations for UV-NIL resists were investigated focusing on the major issues of ink-jetting performance,
pattern formability, release property, and dry etching resistance. Regarding ink-jetting performance, small droplet inkjetting
of 0.7pl was successfully demonstrated by adjusting the resist fluid property to the ink-jet coater and controlling
the resist volatilization. Regarding pattern formability, a resist pattern was imprinted from a mold pattern of 28nm in
width and 60nm in depth without pattern dimension change. It was thought that modulus control of the resist was more
important than resist shrinkage in achieving excellent pattern formability. As for release property, resist with fluorine
monomer and with non-reactive fluorine anti-sticking agent were compared. The results indicated that resist design has
the capability to both reduce separation force and maintain a clear mold surface. The mold release agent decomposed
with increasing number of imprint shots, but the low release force resist with non-reactive anti-sticking agent was able to
control degradation of the mold release agent and thus improve release property endurance. Regarding etching
resistance, it was found that increasing the ring parameter of resist is essential for high etching resistance, and resulted in
improved etched pattern features of the substrate.
Challenges of lithography performance, dry etch resistance, and substrate dependency in resist materials dedicated to
negative tone development (NTD) process were studied. The gamma-parameter in contrast curve was increased to
achieve improvement in lithography performances, and CD-uniformity (CDU), DOF, and circularity of dense C/H
pattern were studied for the resist material. Ohnishi-parameter of de-protected polymer was decreased to improve dry
etch resistance, and dissolution property and lithography performance were studied to look at maturity of materials.
Formulation dependency on pattern collapse property on spin-on-type Si-hard mask (Si-HM) were studied, and material
property to suppress pattern collapse was discussed.
A series of polymer with different hydrophobicity have been synthesized to investigate effects of contact angle on
ultimate resolution. Contact angle of these polymers was widely changed by utilizing polymers having a different
chemical structure and protection ratio. It is revealed that resolution of 20 nm half-pitch (hp) was limited by pattern
collapse and improved by increasing contact angle of polymer under E-beam exposure. It is noteworthy that resolution of
20 nm hp and below for current EUV resists with exposures on a NXE:3100 and a micro-field exposure tool (MET) at
SEMATECH Berkeley was also limited by pattern collapse. Low diffusion character of current EUV resists with
controlled PAG design, especially polymer bound PAG (PBP), suppressed degradation of resolution by chemical blur.
Combination of high contact angle polymer with low diffusivity PAG showed resolution of 18 nm hp using a MET at
SEMATECH Berkeley with a LWR of 2.6 nm, sensitivity of 20 mJ/cm2, and Z-factor of 3.9 × 10-9 mJnm3. Unfortunately
density of blob defect for the hydrophobic polymer was above 100 counts/cm2. Hydrophobicity of film surface was
found to be a main cause of its poor defectivity. Adding the new EUV additive to the hydrophobic resist reduced density
of blob defect from 100 counts/cm2 to below 0.1 counts/cm2.
High volume manufacturing capability of negative tone development (NTD) process were discussed in viewpoint of
lithography performance, necessary developing time impacting throughput, pattern defectivity, and CD-uniformity
(CDU). Dense C/H pattern lithographic performances of the latest resist materials dedicated NTD process were
introduced, and the design strategy of these resists were discussed. Best condition of development time was fixed with
the study on development time dependences on CD-uniformity and defectivity. Throughput performance of NTD was
discussed with the necessary development time. Pattern defectivity studies and CDU studies were carried out on L/S
pattern and C/H pattern.
Blurs, swelling properties and lithographic performance for polymer bound PAG and polymer PAG blended type resists
were studied. A Blur strongly depends on PAG size and the polymer bound PAG type resist reduces the Blur. The Blur
for the polymer bound PAG type resist is smaller than that for ZEP (non CAR). That indicates that polymer bound PAG
should reduce secondary electron diffusion. The polymer bound PAG type resist acquires very small Blur with higher
sensitivity and suppresses swelling very well, therefore polymer bound PAG is one of the promising technologies that
improve Resolution, LWR and sensitivity (RLS) property. RLS property on EUV exposure tool is significantly
improved by using the polymer bound PAG type resist. Resolution reaches 24nmhp and is limited by pattern collapse
and line breaking. Further lithographic experiments on EB exposure tool which has higher NILS than EUV exposure
tool were carried out in order to make clear relation between Blur and resolution. The resolution of the polymer bound
PAG type resist reaches 17.5nmhp with 35nm thickness and there is possibility that the resolution of an optimized
polymer bound PAG type resist reaches under 15nmhp. The resolution of the resist with lower capillary force (C.F.)
given and lower swelling and on higher NILS exposure tool strongly depends on the Blur.
EUV lithography is the most promising new technology for the next node of semiconductor devices. Unfortunately, the
high energy photons are likely to generate more contamination than observed with ArF or KrF light which can reduce the
transmission of the EUV optics. Resist outgassing is considered to be an important contamination source, however, not
enough is known about the way a resist composition influences the contamination growth rate, while this information is
crucial to guide the development of EUV resists.
To reduce the knowledge gap, FUJIFILM and imec started a joint effort aimed at systematically exploring the
contribution of the different resist components and at understanding the effect of chemical modifications of the different
components on the contamination tendency of resists. The project focuses on (1) the identification and quantification of
the outgassing components from resist by RGA measurements, (2) on the quantification of the resist related
contamination rate by witness sample (WS) testing, and (3) on the correlation between these two results knowing the
details of the resist chemistry.
To explore the effect of the resist composition upon contamination growth, the following approach was followed. The
focus was put on chemically amplified resists (CAR), since this chemistry is mostly used in EUV lithography. Both
PAG blended as well as PAG bound systems were explored, and the following resist components are individually varied:
polymer matrix, blocking groups, PAG type and concentration. In this way the total contamination of a resist can be
divided into the separate contributions of the different resist components upon the contamination growth rate, which is a
huge step forward in the understanding of optics contamination due to resist.
A strong demand exists for techniques that extend application of ArF immersion lithography. Besides techniques such as litho-friendly design, dual exposure/patterning schemes, customized illumination, alternative processing schemes are also viable candidates. One of the most promising alternative flows uses image reversal by means of a negative tone development (NTD) step with a Fujifilm solvent-based developer. Traditionally, contact and trench printing uses a dark-field mask in combination with positive tone resist and positive tone development. With NTD, the same features are printed in positive resist using light-field masks, and consequently with better image contrast. We present an overview of NTD applications, comparing the NTD performance to that of the traditional development. Experimental work is performed at a 1.35 numerical aperture, targeting the contact/metal layers of the 32- and 22-nm nodes. For contact printing, we consider both single- and dual-exposure schemes for regular arrays and 2-D patterns. For trench printing, we study 1-D, line end, and 2-D patterns. We also assess the etch capability and critical dimension uniformity performance of the NTD process. We proves the added value of NTD. It enables us to achieve a broader pitch range and/or smaller litho targets, which makes NTD attractive for the most advanced lithography applications, including double patterning.
Fundamental studies on polymer bounded PAG and polymer - PAG blend type were carried out with the viewpoint of
dissolution property, lithographic performance, and blur. These materials were prepared to be able to directly compare
and to discuss the difference between blend and bounded PAG, with different PAG loading amount. Dissolution
property revealed the clear difference of these materials tendency to the PAG loading amount variation. Lithographic
performance difference corresponds to the dissolution property difference, and there found the strategy to improve
lithographic performance with polymer bounded PAG type resist. Blur study suggests the advantage in polymer bounded PAG in resolution.
Process parameter influence in resist process with negative tone development (NTD) to pattern size (CD), CD
uniformity (CUD), and defectivity are studied to estimate the impact for process stability in high volume manufacturing
(HVM) of semiconductor devices. Since double exposure process is one of the candidates in contact hole patterning,
exposure to exposure delay was studied. There is a possibility to design the off-line system with NTD process,
therefore, exposure - PEB delay and PEB - development delay were studied. As basic development parameter studies, development time, developer temperature, developer volume, and rinse time dependency on CD, CDU, and defectivity were investigated.
Several methods to improve sensitivity of EUV resist, with a couple of key points of acid generation efficiency and deprotection
reaction efficiency. Larger loading of PAG to increase the secondary electron absorption possibility, cation
unit design to lower the lowest unoccupied molecular orbital of cation, and lowering ionization potential of polymer to
enable efficient secondary electron generation, were discussed in the viewpoint of acid generation efficiency. Larger
size of anion structure design on PAG was applied to special formulation of small loading of quencher to minimize
necessary generated acid concentration to give enough de-protection reaction amount, and to higher PEB temperature
resist process to maximize de-protection reaction efficiency.
Methods for improving lithography performance with optimization of resist materials and formulation for negative tone
development (NTD) process are discussed. Narrow pitch lithography performance comparison with NTD and PTD
process for initial platform for NTD revealed the not enough maturity of the resist for NTD. Dissolution rate study
suggested the optimizations of molecular weight and solvent parameter to NTD process are important to improve
dissolution property. Larger dissolution rate and larger γ value in contrast curve gave larger process window at 40 nm
half pitch dense contact hole pattern with double exposure and single development step.
A strong demand exists for techniques that can further extend the application of ArF immersion lithography. Besides
techniques like litho-friendly design, dual exposure or patterning schemes, customized illumination modes, also
alternative processing schemes are viable candidates to reach this goal. One of the most promising alternative process
flows uses image reversal by means of a negative tone development (NTD) step with a FUJIFILM solvent-based
developer. Traditionally, the printing of contacts and trenches is done by using a dark field mask in combination with
positive tone resist and positive tone development. With NTD, the same features can be printed in positive resist using a
light field mask, and consequently with a much better image contrast.
In this paper, we present an overview of applications for the NTD technique, both for trench and contact patterning,
comparing the NTD performance to that of the traditional positive tone development (PTD). This experimental work was
performed on an ASML Twinscan XT:1900i scanner at 1.35 NA, and targets the contact/metal layers of the 32 & 22 nm
node. For contact hole printing, we consider both single and dual exposure schemes for regular arrays and 2D patterns.
For trench printing, we compare the NTD and PTD performance for one-dimensional patterns, line ends and twodimensional
structures. We also assess the etch capability and CDU performance of the NTD process.
This experimental study proves the added value of the NTD scheme. For contacts and trenches, it allows achieving a
broader pitch range and/or smaller litho targets, which makes this process flow attractive for the most advanced
lithography applications, including double patterning.
Dual-tone development (DTD) has been previously proposed as a potential cost-effective double patterning technique1.
DTD was reported as early as in the late 1990's2. The basic principle of dual-tone imaging involves processing exposed
resist latent images in both positive tone (aqueous base) and negative tone (organic solvent) developers. Conceptually,
DTD has attractive cost benefits since it enables pitch doubling without the need for multiple etch steps of patterned
resist layers. While the concept for DTD technique is simple to understand, there are many challenges that must be
overcome and understood in order to make it a manufacturing solution.
Previous work by the authors demonstrated feasibility of DTD imaging for 50nm half-pitch features at 0.80NA (k1 =
0.21) and discussed challenges lying ahead for printing sub-40nm half-pitch features with DTD. While previous
experimental results suggested that clever processing on the wafer track can be used to enable DTD beyond 50nm halfpitch,
it also suggest that identifying suitable resist materials or chemistries is essential for achieving successful imaging
results with novel resist processing methods on the wafer track. In this work, we present recent advances in the search
for resist materials that work in conjunction with novel resist processing methods on the wafer track to enable DTD.
Recent experimental results with new resist chemistries, specifically designed for DTD, are presented in this work. We
also present simulation studies that help and support identifying resist properties that could enable DTD imaging, which
ultimately lead to producing viable DTD resist materials.
A new negative tone imaging with application of new developer to conventional ArF immersion resist materials is proposed to form narrow trench and contact hole patterns, which is promising for double patterning process, since it is difficult to obtain sufficient optical image contrast to print narrow trench or contact hole below 60 nm pattern size with positive tone imaging. No swelling property in the developing step realized low LWR number at 32 nm trench patterns. Uniform de-protection ratio through the depth of resist film reduced cuspy resist pattern profile causing
micro-bridges at narrow trench pattern, and low frequency LWR number down to 2.4 nm. High resolution potential
was demonstrated with 38 nm dense S/L under 1.35 NA immersion exposure. Better CD uniformity and LWR number
of trench pattern were obtained by negative tone development (NTD) process with comparison to positive tone
development (PTD) process. Excellent defect density of 0.02 counts/cm2 was obtained for 75 nm 1:1 S/L by
combination of 0.75 NA dry exposure and NTD process combination. NTD process parameters impacts to defectivity
were studied.
A new negative tone imaging with application of new developer to conventional ArF immersion resist materials is
proposed for narrow trench pattern formation, which is effective to the double trench process that is one of the
candidates of double patterning process for 32 nm node semiconductor devices. No swelling property was realized in
the developing step, in which the dissolution mechanism was discussed. Significantly better LWR and resolution on
narrow trench pattern were observed with this negative tone development compared to positive tone development.
These results suggest that this negative tone development process is one of the promising candidates for double trench
process. Feasibility of double development with negative and positive development process was evaluated as a
candidate for pitch frequency doubling process, and quite low k1 number of 0.23 was obtained.
The immersionspecific watermark defect is discussed in its formation mechanism and in the influence of materials and exposure process. The non-topcoat approach was the basis of the work, where the properties of resist surface itself played the key role. Water droplets left on the resist surface were considered to induce the watermark defect in two possible ways; (1) the droplet is carried over to PEB process and impact the resist properties under the heat, (2) the droplet already evaporates before the PEB leaving some residue on the surface. A notable reduction in the resist dissolution rate was observed in the former case, which could be due to either physical or chemical change in the resist materials triggered by the water, and thereby would result in an unavoidable patterning failure. Therefore it is essential not to leave any water droplets on the surface in preventing the watermark formation. A very much hydrophobic materials design was proven effective in achieving this. The watermark formation was correlated to the scanning speed of immersion showerhead and the defectivity was evaluated in this perspective. The receding contact angle of the resist surface was found to well correlate to the "allowable" scanning speed, and was concluded that the higher was the better. A resist material was newly designed by using a hydrophobic polymer on this basis and the resist demonstrated a promising results not only in the watermark defectivity but also in lithographic performance.
The interfacial mass transfer issues of resist components in ArF immersion lithography were investigated both for topcoat resist system and for non-topcoat resist system. PAGs and photoacids are known for the major components that leach out from the resist to water and topcoat has been simply expected to shut off this leaching. In this study, topcoat layer was acutally verified significantly to reduce the PAG leaching, but PAG (or photoacid) diffusion from the resist to topcoat was found as another issue. This resulted in T-top formation in the imaging due to the loss of photoacid nearby the resist surface caused by this diffusion. A material approach to reduce this interfacial diffusion was proposed through a thermodynamic consideration of the materials system. The free energy change for PAG-polymer solubilization is the key and the Solubility Parameter value was considered to represent a good measure of this free energy change. The experimental results well matched this analysis. On the other hand, a perfect suppression of the leaching without using topcoat would be more desirable if it is feasible. The influence of structural parameter of the resist component was investgiated. The hydrophobicity of PAG was found to well correlate inversely to the leaching amount of the PAG into water, and thereby a technical guideline was obtained in designing resist materials to make them resistant to the leaching. By optimizing each resist component on this bases, we actually demonstrated a resist that showed the PAG leaching level reduced down to 10-13[mol/cm2], which we believe far below to what we are today with conventional dry resists. A resist sample was thus developed targeting the non-topcoat approach and was examined for its imaging performance with a realistic immersion lithography tool without using any topcoat. The resist actually showed good imaging results fully with the benefits that we expected with the immersion exposure.
Extremely fine hole pattern formation with dark spot image is investigated with Atten-PSM and specific modified illumination. In optical image calculation, by the application of tone reversed image in Atten-PSM under an optimized cross-pole illumination, dark spot image with zero MEF and iso-focal characteristics is obtained for very wide range of pattern pitch. In KrF wavelength, formation of ~110 nm size dark spot image with resolution DOF higher than ~0.50μm can be achieved for the pattern pitch of isolated to ~240 nm. In this imaging, MEF may become very low or exactly zero for the pitch of isolated to ~300 nm. Because of low or zero MEF, OPC is essentially difficult or may be performed imperfectly for this method. However, small OPE of ~10 nm in CD variation throughout pattern pitch could be expected by the application of optimized illumination. In preliminary experiments under KrF optics of NA=0.75, high DOF and zero MEF characteristics are successfully proven, even while the experiments are carried out with non-optimal modified illumination.
A chemical shrink technology, RELACS (Resolution Enhancement Lithography Assisted by Chemical Shrink), utilizes the cross linking reaction catalyzed by the acid component existing in a predefined resist pattern. This “RELACS” process is a hole shrinking procedure that includes simple coating, baking, and rinsing applied after conventional photolithography. Our target is realize of sub-70nm hole pattern formation by using new RELACS for ArF resist. At present, RELACS process is introduced to mass production of KrF lithography by using AZ R200 (Product name of Clariant) mainly. Then first of all we reported process performance of conventional RELACS material, AZ R200 with ArF resist. However AZ R200 does not show satisfactory shrinkage on ArF resist. Thereupon, we started on the development of new RELACS corresponding to ArF resist. As the result, we developed new RELACS material including Cross Linking Accelerator (CLA). It was found that CLA is able to improve reactivity of RELACS with ArF-resist. By using this new RELACS, It is Realized sub-70nm hole pattern formation with ArF-Ex lithography and It is able to Control of hole size by mixing bake (MB) temperature and additive ratio of CLA. Moreover this process was realized that thickness of shrunk hole is increased.
Iso-focal characteristics of line patterns in dark field imaging are investigated by optical image calculations and basic experiments for application to gate pattern in current logic devices. In dark field imaging, isolated line image, that is bright line image, shows iso-focal characteristics at exposure level higher than that in usual printing condition. The effective image contrast is enough high to resolve the line pattern by the application of high contrast resist. By the investigation of imaging characteristics throughout pattern pitch, good focus latitude of DOF > approximately 0.50 micrometers is obtained for almost all pitches down to approximately 300 nm in KrF wavelength utilizing modified illumination and attenuating phase shift mask. It is also revealed that mask error enhancement factor (MEF) is less than 2.0 and exposure latitude, which is defined by ((Delta) CD/CD)/((Delta) Exp.Dose/Exp. Dose), is smaller than approximately 1.0 throughout the pattern pitch. Although these very superior characteristics are obtained by this imaging, minimum image CD of isolated line with iso-focal characteristics is no smaller than approximately 180 nm for KrF wavelength even with extremely high NA. Also, range of line width for high DOF is not so large of approximately100 nm for isolated line. However, by application of appropriate CD trimming, such as partial ashing, this method can be applied for gate pattern formation of logic devices in 100 nm node. Accordingly, we believe that this work will provide a cost effective method of gate pattern formation down to 100 nm node in use of KrF wavelength.
The relation between the characters of the protecting groups and lithographic performance in chemically amplified resist was investigated by computational techniques. The stability of de-blocking reaction was estimated by means of molecular orbital calculation (MO). Their calculated results showed that electron withdrawing group increases the activation energy of de-blocking reaction. And the resists which contain these protecting groups were evaluated with acidic top-coating. Though the stability of de-blocking reaction correlated with the sensitivity of the resist, it was not necessarily effective to acidity of top-coating. Furthermore, we investigated the effect of the bulkiness and hydrophobicity of protecting groups to resist performance. As the bulkiness of the protecting group increased, the top shape of lines became better. However, without top coating, too bulky protecting group formed T-top shapes. These results show that the bulkiness of the protecting groups has relation to formation of the insoluble layer. The stabilization energy in the interaction of protecting groups with hydroxyl group of phenol ((Delta) H) was calculated by means of MO calculation. The highest value of (Delta) H between carbonate group and OH group is larger than that between acetal group and OH group by 1 kcal/mol. This result suggests that the interaction between carbonate group and OH of phenol contribute to the ability of dissolution inhibition.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.