This book provides an in-depth, self-contained introduction of partially coherent imaging theory for researchers and engineers working on optical lithography for semiconductor manufacturing, including those in the EDA industry. It is mathematically complete: the opening chapters discuss the essential principles, and all derivations are presented with their intermediate steps. For increased accessibility, simplified and consistent notations are used throughout the text. Full-color pages illustrate the connections between figures and equations.
In this paper, we proposed a new design of the test mask to measure the amount of the out-of-band (OOB) light from an extreme-ultraviolet (EUV) light source by detuning the period of the multilayer (ML), rather than changing the material of the absorber, to suppress reflection of EUV light. The new OOB test mask also reflects essentially the same OOB light as that of the production mask at each wavelength in the whole OOB spectral range. With the help of the new OOB test mask, the contributions to the background intensity from in-band flare and OOB light can be correctly separated and an accurate optical-proximity-correction (OPC) model can be established.
Due to the use of reflective optics in extreme-ultraviolet lithography (EUVL), the chief ray angle of incidence at the object (mask) side (CRAO) cannot be zero. If the conventional resolution enhancement technique (RET) of off-axis illumination (OAI) is used, such mask-side non-telecentricity degrades aerial image contrast partly because of asymmetry (w.r.t. the mask) of the two beams in an incident beam pair and partly because of asymmetry (w.r.t. the mask) of the two diffraction orders of either incident beam. The former leads to intensity imbalance of the two incident beams (after leaving the mask) and the latter leads to amplitude and phase imbalance of the two diffraction orders of either incident beam. Solutions proposed previously only alleviate the former and have little help for the latter. In this paper, we introduce n=1 absorber to eliminate the phase imbalance so that the transverse shift between the two aerial images formed by the two incident beams can be minimized and the contrast of the final aerial image (by superposition of the two) can be restored.
In extreme ultraviolet lithography (EUVL), the application of off-axis illumination (OAI) leads to degradation in aerial image contrast, resulting in an unacceptably high mask error enhancement factor as the pattern pitch becomes smaller, even if an attenuated phase-shifting mask (AttPSM) of optimized attenuation is employed. We show that this is an intrinsic problem of OAI and cannot be remedied by adopting a thinner absorber, a smaller chief ray angle of incidence at the object side, or a projection optics box with a higher numerical aperture. Based on simulation results using the best conditions for OAI, we may conclude that single-patterning EUVL will probably end at a technology node with the minimum pitch of 22 nm, unless we can come up with other innovative ways for performing EUVL imaging or designing and formulating resists with blurs less than 5 nm at reasonable exposure dose.
In this paper, the impact of resist on the lithographic process window is investigated. To estimate the resolution
limit of EUVL due to the limitation from resist performance, a simplified resist model, called diffused aerial image
model (DAIM), is employed. In the DAIM, the resist is characterized by the acid diffusion length, or more generally,
resist blur. Lithographic process windows with resists of various blurs are then calculated for different technology nodes.
It is concluded that the resist blur needs to be smaller than 8 nm to achieve a reasonable window for the technology node
with the minimum pitch of 32 nm. The performance of current resists can barely fulfill this requirement. Investigation of
a more refined resist model is also initiated.
In this paper, definition of line/space patterns at 44-, 32-, and 22-nm pitches using extreme-ultraviolet lithography
(EUVL) is investigated by aerial image simulation. The results indicate that extending EUVL to the 22-nm pitch requires
reducing the mask shadowing effect, which implies reducing the mask absorber thickness as well as maintaining the
6-degree angle of incidence on the mask, if the reduction ratio of the imaging system is to be kept at 4. Reduction of the
mask absorber thickness can be realized by implementing attenuated phase-shifting masks. Otherwise, all critical
patterns must be laid out in single orientation.
A programmed-defect mask consisting of both bump- and pit-type defects on the LTEM mask substrate has been
successfully fabricated. It is seen that pit-type defects are less printable because they are more smoothed out by the
employed MLM deposition process. Specifically, all bump-type defects print even at the smallest height split of 1.7 nm
whereas pit-type defects print only at the largest depth split of 5.7 nm. At this depth, the largest nonprintable 1D and 2D
defect widths are about 23 nm and 64 nm, respectively.
In this paper, one of the major contributions to the OCD metrology error, resulting from
within-wafer variation of the refractive index/extinction coefficient (n/k) of the substrate, is
identified and quantified. To meet the required metrology accuracy for the 65-nm node and beyond,
it is suggested that n/k should be floating when performing the regression for OCD modeling. A
feasible way of performing such regression is proposed and verified. As shown in the presented
example, the measured CDU (3σ) with n/k fixed and n/k floating is 1.94 nm and 1.42 nm,
respectively. That is, the metrology error of CDU committed by assuming n/k fixed is more than
35% of the total CDU.
The very tight critical dimension(CD) specification as well as the very small energy latitude(EL) of the 65-nm node and beyond, planned to be accomplished by ArF lithography, require that the control of the employed thin-film stack should also be very tight. In such cases, there are generally several optical parameters of the thin-film stack that have appreciable effects on CD variation. So, we can not just focus on minimizing the swing effect by minimizing substrate reflectivity, as we did conventionally. Here, we propose a systematic methodology for doing optimization of the thin-film stack when several optical parameters of the thin-film stack come into play simultaneously. By adopting a proper figure of merit, the optimization can be done automatically. The specially designed algorithm ensures that global optimization can be achieved.
The methodology of the optimization of a thin-film stack for high-NA optical lithography is different from that of the conventional low-NA case, since there are many new factors that need to be considered simultaneously. These include polarization dependence of the reflection coefficient, impact from variation of the angle of incidence, low energy coupling efficiency at the air/resist interface for TE waves, and critical dimension (CD) variation resulting from the bulk effect. In this work, we show that in the extreme high-NA regime, it is hardly possible with existing materials to realize a perfect top antireflection coating that satisfies simultaneous requirements of minimizing the swing effect as well as maximizing throughput and image contrast. Fortunately, for immersion lithography, it is very probable to realize such a top antireflection coating, even when NA is close to the physical limit. We also show that the impact from variation of the angle of incidence can be overcome by implementing top/bottom antireflection coating optimized at low/high angles of incidence, or vice versa. We finally show that CD variation resulting from the bulk effect can be compensated in half a swing period by fine tuning the swing effect.
The functional dependence of a resist critical dimension (CD) with respect to resist thickness for a general absorptive thin-film stack in the case of oblique incidence is derived analytically with the rigorous electromagnetic theory. Based on obtained results, we discuss those thin-film effects related to CD control, such as the swing effect, bulk effect, etc., especially in the regime of high numerical aperture optical lithography.
It is well known that TM-waves are not as effective as TE-waves in forming interference fringes in oblique incidence. In lithography, this corresponds to contrast loss when imaging with the high-NA exposure tool that employs strong off-axis illumination. One usually explains that there is an angle between electric fields of TM-waves in the resist. However, when the resist is absorptive, the calculation of reduction of contrast for TM-waves becomes rather complicated. In this paper, we show that the analytic formula for symmetric two-beam interference can be derived by straightforward full-vector approach. With the help of the Poynting vector and Poynting's theorem, aerial image in the resist can be calculated.
The contrast-reduction factor for TM-waves is thus be found to be
[formula] where k0+ is the wave vector in the resist and N0 is the complex index of refraction of the resist. When the resist is non-absorptive, the contrast loss factor for TM-waves reduces to the well-known form ε, = cos2θ0, where θ0 is the angle of refraction in the resist. It can also be shown that for TE-waves aerial image in the resist is separable in its transverse and longitudinal coordinate dependence, as is also true for TM-waves under reasonable approximations. That is, the thin-film effect can be regarded as independent of aerial image formation. This conclusion makes possible the development of an efficient methodology for optimizing the thin-film stack used in optical lithography.
The critical dimension (CD) of contact holes for the 65-nm application specific integrated circuit (ASIC) is 100 nm according to the 2002 update of the International Technology Roadmap for Semiconductors. The common through-pitch depth of focus (DOF) of such contact holes is very small using the current ArF exposure tool. High-numerical-aperture (NA) ArF exposure tools are not expected to improve the common DOF that scales by the square of the numerical half aperture. High-transmission attenuated phase-shifting masks increase the DOF of isolated contact holes. Off-axis illumination such as annular or quadrupole illumination improves the DOF of dense contact holes. Nonetheless, both the isolated and the dense contact holes need to be printed within spec on logic circuit.
To delineate 100-nm contact holes at several different pitches, we proposed the pack-and-unpack (PAU) process which employs double exposures. First, dummy holes are added to the surroundings of isolated contact holes facilitating the patterning of the resultant dense pattern with a resolution enhancement technique that favors dense contact holes. For example, dense holes are packed to 180-nm pitch and imaged with high-NA lens setting and quadrupole illumination. Then, the second image is used to open the desired holes or block the dummy contact holes. The purpose of this study was to develop new methods and new materials for the patterning of the second image. Three approaches were investigated. The first approach was forming an isolation layer to protect the first image; second, applying UV curing to harden the first image; third, using alcohol-based resists to pattern the second image. Among those three approaches of printing the second image, using resist in alcohols is the most convenient method. Even though the CD control of the second image is not so critical, resolution and process window of resists may need further improvement for 45-nm node and below. Using the second approach allows conventional ArF resists, which does not raise as many concerns as the alcohol-based resists. With the first approach, a lot more work is needed to prevent intermixing and reactions between the isolation layer and the resist for the second image. The results of this work point to the directions for material developments of the PAU process. Both the alcohol-based resists and UV curing are good approaches for PAU. Further characterizations such as DOF, exposure latitude (EL), and mask error factor (MEF) on them will be carried out in the near future.
CD-SEM and scatterometry are two of the top candidates for CD metrology in 90 nm node. In this study, Optical Digital Profilometry (ODP) based scatterometry was used to evaluate four topics: CD SEM and ODP process resolution comparison, ODP duty ratio limitation study, Poly AEI undercut sensitivity, STI ODP to TEM profile and trench depth matching. The scatterometry results were compared to CD-SEM and TEM results to develop the correlation of different metrology techniques. Scatterometry is able to provide robust uniformity measurement with additional information compared to CD-SEM. The additional information included sidewall angle, photoresist thickness, A°RC layer thickness, and under-layer film thickness. Actual data showed that this extra information was essential to trouble shoot the CD uniformity issue, separate the scanner, track, and thin film deposition impact on final CD uniformity. Scatterometry can be used not only as a metrology tool to measure CD uniformity, but also a useful analytical tool to find out the cause of CD non-uniformity. In small FEM study, scatterometry demonstrated its high resolution and precision. It can clearly identify the CD shift of less than 0.5 nm with exposure energy shift of 0.1mJ. This high resolution enabled a clearer definition of process window, and monitoring of small process shift in the actual production. From the experimental results, current optical tool with ODP technology was well qualified for duty ratio > 30 iso line measurement, detecting Poly undercut, STI profile and depth TEM matching.
Three important concepts about the mask error enhancement factor (MEEF) are proposed. From the definition of MEEF, it could be derived as a function of the image log slope and the aerial image variation caused by mask critical dimension (CD) errors. Second, a mask error common window indicator (MECWIN) is proposed to evaluate the MEEF and mask CD specification by knowing the wafer CD tolerance. This concept is used to define the mask CD specification without any ambiguity. Finally, we describe the complex 2-D response to the mask-making error around the line end by a mask error enhancement tensor. Both theoretical derivations and experiments to justify the theory are presented.
In this paper, a quantitative evaluation of mask quality in the domain of 2D pattern fidelity and a method of assessing the OPC model effectiveness are investigated. The spirit of our algorithm is to characterize the wafer lithographic performances of both the real physical mask and the ideal OPCed layout mask that the physical mask is based on. To acquire these performances, we adopted a CD-SEM image process technique for transforming an actual SEM mask image into a simulation-friendly format like GDSII together with the methods to correctly handle the image transformation and interpret the simulation results. Finally, the images, such as the simulated aerial images, the simulated or observed resist top views, are superposed for comparison using logic operation.
ArF resist lines are tested using scatterometry to study the CD correlation with CDSEM, profile variation caused by baking temperature and pattern environment, as well as the evaluation of optical proximity effect (OPE). Results show reasonable profiles variation predicted by scatterometry spectra from different baking temperatures. Other good matches are the predicted resist line profiles from dark-field and clear-field pattern environment and various line-pitch ratios. They are found to be very similar with the images from the cross-section SEM. On the other hand, the CD linearity and OPE are also found with good matches between scatterometry CD and SEM CD. However, the maximum pitch size tested for OPE is 0.6 μm. More sparse patterns aer believed to have lower sensitivity caused by the weak characteristics spectrum detected. The spectrum sensitivity is another important topic in this paper. The CD and pitch information is contained across the entire spectrum while small profile variations, like t-top and footing, are predicted in the shorter wavelength region. To predict accurate resist profile for small CD, the usage of the shorter wavelength spectrum is inevitable.
Three important concepts about the mask error enhancement factor (MEEF) are proposed in this paper. From the fundamental assumption, the MEEF is derived to be a function of the image log slope and the aerial image variation caused by mask making error. Secondly, a mask error common window indicator (MECWIN) is proposed to evaluate the MEEF and mask CD specification by knowing the wafer CD tolerance. This concept is used to define the mask CD specification without any ambiguity. Finally, we describe the complex two-dimensional response to the mask making error around the line-end by a mask error enhancement tensor. Both theoretical derivations and experiments to justify the theory are presented in this paper.
In this paper, logic device patterning of 0.16-micrometer trenches for the 0.13-micrometer node using 248-nm light and 0.13-micrometer trenches for the 0.10-micrometer node using 193-nm light is investigated. Severe proximity effect through all pitches and small depth of focus for isolated trenches bring great challenges. To produce manufacture-worthy process windows, lithographic techniques such as optical proximity correction, annular illumination, sub-resolution assist features, and attenuated phase-shift mask are considered. No prominent performance gain is achieved in the aforementioned combination if full-pitch-range performance is required. However, manufacture-worthy 0.5-micrometer depth of focus can be obtained through all pitches by replacing annular illumination with quadrupole illumination while retaining sub- resolution assist features and optical proximity correction, even without having to resort to attenuated phase-shifting mask. We also observe that attenuated phase-shift mask or dipole illumination improves depth of focus and photoresist profile of dense patterns only in the cases studied.
We report here a lithography process for 0.13 micrometers lines using a high NA 248 nm scanner and attenuated phase-shifting masks (AttPSM) employing optical proximity correction with optimized assisting features. Our current result indicate a common depth of focus of 0.5 micrometers and exposure latitude of 10 percent for lines with line/space ratios from 1:1.2 to isolated. The mask error factor with assisting feature ranges from 1.2 to 1.5 depending on the duty ratio. The line edge roughness is less than 8 nm for our current KrF resist with AttPSM. We also explore the feasibility of strong and weak quadrupole illumination for process enhancement. The results show promising potential for proximity reduction and process window enhancement. Considering practical implementation in foundry fabs, we decided to concentrate our efforts on AttPSM with annular illumination plus OPC with assisting features. Our investigation indicates that 248 nm AttPSM technology is very attractive for 0.13 micrometers device fabrication.
In this paper, we investigate depth of focus (DOF) improvement using radially symmetric pure phase pupil filters. Optimization of such filters starts by requiring their corresponding point spread function be flat in the axial direction. it is found that DOF for 0.18micrometers contact holes using an optimized filter is about 1micrometers , while printing them without filters is hardly feasible, using a binary mask. however, this filter introduces 2/3 intensity loss and therefore affects the processing throughput. We also apply the optimized filter to the imaging of 0.15micrometers contact holes and 0.18micrometers lines and spaces. For 0.15micrometers contact holes, it still performs better than other case, but normalized image log-slopes are low for all cases. From the simulation data of 0.18micrometers lines and spaces, pure phase filters may not be good candidates for improving their DOF. Other DOF enhancing techniques such as quadrupole illumination may be required.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.