In the implementation of EUV lithography, the stochastic effects in photoresist patterning are significant. The stochastic characteristic of Chemically Amplified Resist (CAR) for EUV demands novel modeling methods instead of the continuous model used in DUV. The previous model is directly derived from Gillespie algorithm, which sorts all cells into logarithmic classes based on the magnitude of the propensity functions. It takes a lot of time to update the classes in each iteration. Moreover, it splits the whole system only once, and for large systems a logarithmic class can include still larger number of cells, which can also take up a large amount of computing time. In this study, a new stochastic EUV resist model is proposed to improve the speed of PEB simulation by using a modified minimal process algorithm, which employs a splitting method called cascade classification. It divides evenly all cells into small groups in some arbitrary manner and each group is further divided evenly into smaller ones, and so on, until the smallest groups include a sufficiently small number of cells. The research shows that the new algorithm is more efficient than the previous one while maintaining accuracy.
Extreme ultraviolet (EUV) double patterning (DP) with a numeric aperture (NA) of 0.33 can be introduced for the critical via layers at 3nm logic node. The minimum center to center (C2C) distance of a via pattern may form bridging defects even adopting EUV DP. The implemented via process, pattern shifts induced by EUV illuminator, overlay capability and OPC strategies may lead to bridging defects in EUV DP process. This paper will put forth a compact model to detect potential bridging hotspots and predict the corresponding probability of failure considering aforementioned process variations. The feasible design, patterning solutions, and process parameters can be optimized and compensated quantitatively to avoid design updates and mask rebuild.
BackgroundIn advanced technology nodes, forbidden pitches (FPs) can reduce the depth of focus and limit the overlapped process window of lithography. In extreme ultraviolet (EUV) lithography, one pattern in a different orientation or different position of arc slit field will have a different shadowing effect, due to the chief ray angle and azimuthal angle of the incident light. Therefore, it is necessary to mitigate the FP effect of EUV lithography.AimWith the purpose of mitigating the phenomenon of FPs in the arc slit exposure field, we propose an optimization method based on a genetic algorithm (GA).ApproachThe optimization method is derived from the basic flow of the GA. Three mask parameters are selected as the variables, including the mask bias and the width and position of the assist feature. The cost function is designed to evaluate the process window, normalized image log slope, and contrast of different fields in the arc slit. After multiple iterations, an optimal combination of three variables is obtained.ResultsThe simulation results show that the optimized mask structure given by the proposed method in the arc slit exposure field can improve the process window in the horizontal and vertical orientations, respectively.ConclusionsThe limitation of FPs to the process window is removed using mask optimization based on the GA. The proposed optimization method has the potential to be an effect candidate for FPs mitigation.
With the technology node scaling to 5 nm, the forbidden pitch effect caused by destructive interaction between main feature and neighboring feature always leads to poor process window. Due to the small critical dimension (CD) and pitch of these features, forbidden pitches (FPs) become more sensitive in extreme ultraviolet (EUV) lithography. Therefore, controlling of FPs are becoming more and more critical. With the purpose of providing a strategy of mitigating the negative effect of forbidden pitch, an optimization method of the mask structure is developed in this paper. For illustration, three optimal mask structures under quasar illumination conditions are given by the optimized method. The performances of these three structures are demonstrated by the numerical lithography simulator S-litho. The effectiveness and validity of the proposed method are demonstrated from above simulation results. Therefore, the mask structure provided by the optimized method has the potential to be an efficient candidate for mitigating the negative effect of forbidden pitch structure.
Forbidden pitches (FPs) effect is directly related to the loss of process window, which is caused by the difference of diffraction orders of patterns in some pitches. This paper analyzes the FPs effects in extreme ultraviolet (EUV) lithography based on the rigorous imaging simulation and process window evaluation. In the rigorous simulation, S-litho is used to analyze the normalized image log slope of test patterns from critical layer, which allow us to find the weak pitches. Then, the process windows of these test patterns are evaluated using the lithography simulator Proteus WorkBench. This can find out the accurate FPs from the range of weak pitches. Finally, the strategy of mitigating the negative effect of FPs is proposed with the help of source optimization. Unlike deep ultraviolet lithography, the difference of FPs between vertical and horizontal direction is presented in the paper. The simulation results have effectively been used design rules.
KEYWORDS: Near field, Extreme ultraviolet, Photomasks, 3D modeling, Gallium nitride, Finite element methods, Process modeling, Data modeling, Near field optics, Electronic filtering
The mask 3D effect is important even for deep ultraviolet lithography. After the wavelength becomes shorter in extreme ultraviolet (EUV) regime, it becomes even more important. We also need to consider the asymmetric effect as well as the shadow effects now. To model these effects correctly, it is critical to compute the electromagnetic near field around the EUV absorbers correctly. Though FDTD, FEM, and RCWA methods have been applied to do so, we are here trying to combine the FEM method with deep learning techniques to achieve a better computational competence in the speed and accuracy. We only compute the one-dimensional (1D) situation with TE type incident wave. With parts of the near field signal just below the absorber computed by the FEM method, 1D patch generative adversarial network (GAN) technique is used to learn the paired mapping between the distribution of the near field below the absorber and the geometry of the mask absorber. The scattering model of the EUV absorbers obtained this way can be combined with the reflector model afterward to form the whole EUV mask model.
Features in forbidden pitch have limited exposure latitude and depth of focus in lithography exposure. This paper provides an analysis of forbidden pitch in extreme ultraviolet lithography (EUVL) from the perspective of rigorous simulation and source mask optimization (SMO). In the stage of rigorous simulation, S-litho is used to analyze the normalized image log slope (NILS) of test patterns from different critical layer in 5nm node. Then the process windows of these test patterns are simulated and compared by the lithography simulator Proteus WorkBench. From the result analysis, the forbidden pitches of critical layer in 5nm node are summarized. In addition, the strategy of mitigating the negative effect of forbidden pitch is proposed with the help of computational lithography.
In EUV lithography, the short wavelength and residual mirror surface roughness increase the flare levels across the slit. As a key research point, the flares of different exposure fields are carefully discussed by numerical simulation. To ensure the effectiveness and practicability of our simulations, the test patterns are generated according to the general design rules for 7nm technology node. The NILS, process variation band (PVB) and MEEFs from mask optimizations and source mask optimizations (SMO) results are compared. From the comparisons, the constant flare has a greater influence on NILS and PVB than that on MEEF. In contrast, the flare map caused more reduction on the MEEF values.
An EUV source optimization technique using compressive sensing is introduced in this paper. The pixelated source pattern is sparsely represented in a set of certain basis functions. Blue noise sampling method is used to select sampling points around the margins of the target layout for imaging fidelity evaluation. Based on the compressive sensing theory, the EUV SO is formulated as an l1-norm inverse reconstruction problem and solved by the linearized Bregman algorithm. Different types of sparse bases are also experimented in this paper to investigate their impact on the SO results. These bases include the 2D-DCT basis, spatial basis, Zernike basis, and Haar wavelet basis. Simulations show that ℓthe Haar wavelet basis results in the best imaging fidelity among the four types of bases.
It is of tremendous impact with multilayer defects, which are caused by particles, substrate pits or scratches, in EUV lithography for the high volume manufacturing. Multilayer defects suppress the productivity and utilization rate of the mask blank. In this paper, we did a thorough investigation by conducting imaging simulations on dense and semi-dense patterns including lines and contact holes. The impact of isolated multilayer defects on the imaging of 22nm half-pitch dense line/contact and 33nm half-pitch semi-dense line has been studied, and the CD errors are calculated. The CD error, caused by the planar defect which is smoothed out during the multilayer deposition process, is found to be within ±10% of target values. This CD error can be compensated by adjusting the exposure dose or local pattern size. In contrast, the non-planar defect, which is not being smoothed in the multilayer surfaces, would lead to severe damages to the lithography performance.
Background: As semiconductor technologies continue to shrink, the growth in the number of process variables and combined effects tighten the overall process window, which leads to a more serious yield loss. Yield cannot be totally guaranteed by design rule check and verifications of optical proximity correction, due to complex process variations. The joint effects from unreasonable designs and unstable control of critical dimensions and overlay mainly contribute to the formation of bridging defects in critical interconnect layers. Aim: Our paper puts forward a model to detect the potential bridging region and predicts the corresponding failure probability under a litho-etch-litho-etch process. Approach: The proposed model is based on input error sources from variations of lithography and etch processes. In this scheme, bridging is expected when the minimum space of simulated postetch contours within a specific range is smaller than a user-defined bridging threshold. Gaussian distribution characteristics of line edge roughness (LER) and overlay are considered in the proposed model. Moreover, the proposed model provides meaningful guidelines for bridging prediction with the use of process variation bands. Results: The experiment results indicate consistency and validity of theoretical derivation of the proposed model. The concrete impacts of LER and overlay on the model have been quantitatively analyzed as well. Conclusions: According to the predicted probabilities, the model can early discover potential bridging defects quantitatively by considering the statistical properties of process variations with very few calculations and can give a ranking of failure severity as a decision foundation for design rule optimization.
With the continuous shrinking of critical dimension, it may require more time and effort to reduce or remove the lithography defects in the development process. Therefore, defect reduction has become one of the most important technical challenges in device mass production. With the purpose of finding an optimizing recipe, we can simulate group parameters, including nitrogen gas dispensation and wafer-rotation speed. From previous studies, we have established a model based on viscous fluid dynamics and have calculated the removing force distribution across the 300-mm-diameter wafer for the defect residual. In this model, we assumed that the defects mostly are polymer residual; once the removing force reached a certain threshold level (1 × 10 − 14 N), the defect with a “centered-ring-like” signature could be removed. For illustration, several groups of optimal parameter under postdeveloping rinse process conditions are given. The numerical simulations represent several recipes in the development process. We find that we can reproduce a group of the total force curves. From the simulation, we could find that we can get the minimally required strength from the three parameters for defect removal. We have done some experiments to validate the simulation results. The experimental data are almost in agreement with the simulation data. Therefore, the above simulation results have verified the effectiveness and validity of the proposed optimization methodology, and it also has shown that the trend of parameters provided by the optimized method has the potential to be an efficient candidate for reducing or removing lithography defects in the development process.
The oblique incidence of the illumination system in EUV lithography combined with relative thick absorber layer of EUV mask introduces many unique distortions on the image transfer between mask and wafer, most of these distortions are non-linear thus makes the enhancement of resolution more difficult. This paper focus on analysing the impacts of the absorber layer thickness, multilayer thickness and the light source morphology on the image. And improve the EUV lithography and imaging quality by co-optimization of these three parameters. Besides, the intrinsic features and rules of the impacts of absorber thickness on the imaging properties is revealed. And the different behaviour of 1D dense pattern and isolation pattern during the co-optimization is analysed and elucidated. This study provides a potential new direction for resolution enhancement technology.
The oblique illumination in EUVL system combined with relative thick absorber layer of EUV mask introduces many new challenges for mask simulation, like asymmetric phase deformation, shadowing effects , secondary scattering. Besides, these effects result in the ineffectiveness of the Hopkins approach and require new method for mask diffraction computation. A 3D RCWA algorithm is implemented to perform rigorous computation of lights diffracted by the EUV masks. Several examples are designed, analyzed and presented in this paper. Furthermore, a fast version of the rigorous 3D algorithm is implemented by properly decomposing the 3D model into multiple simpler ones, thus the computational time is reduced.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.