High NA anamorphic EUV scanner has anamorphic optics with 8x demagnification in y direction and thus twice smaller exposure fields 26x16.5 mm2. In-die stitching may be required in order to create dies larger than High NA exposure field. In this work we consider stitching of vertical lines and spaces (LS) and establish methodology of stitching evaluation including detailed contour metrology at stitch, across wafer performance, process window and contrast metrics and sensitivity to single layer overlay between two stitched fields.
In the case of low-k1 imaging, critical dimension (CD) variation on extreme ultraviolet (EUV) mask enhances on wafer, which is called mask error enhancement factor (MEEF). CD variation for tighter line and space (L/S) features on the photomask has taken up a large fraction of wafer CD variation. Understanding the mask contribution to EUV lithography (EUVL) is one of the important initiatives to further optimize 0.33-NA EUVL employability. The optical properties of the EUV mask absorber have the potential to improve Normalized Image Log-Slope (NILS). Low-n attenuated phase-shift mask (attPSM) is expected to mitigate mask three-dimensional effects and to enhance the image contrast compared with a traditional Ta-based mask. We experimentally evaluated the MEEF on the drawn feature size, illumination, and mask absorber properties to characterize the impact of optical factors on MEEF using the NXE:3400B EUV scanner. This paper covers two types of mask absorber materials which are Ta-based and low-n attPSM. We experimentally demonstrated that a low-n mask is expected to improve the balance of MEEF at vertical L/S through pitch due to suppression of best focus variation. We also tried to identify the suitable absorber optical properties in terms of MEEF and NILS on both 0.33/0.55-NA EUVL through lithographic simulations.
High NA EUV lithography has become a reality. The high NA EUV scanner (EXE:5000) produces exposure fields of 26x16.5 mm2 which is twice smaller than standard fields on other scanners. For certain use cases (e.g. when a die is larger than the High NA field) stitching between two exposure fields might be required. Stitching of vertical lines across two exposure fields has already been demonstrated in several publications. In this publication, we pay attention to photomask related aspects of stitching which are multifold. We draw attention to the need for mask resolution enhancement which will enable advanced OPC at stitching. We will show stitching behavior on both Tantalum and low-n masks and demonstrate low-n absorber reflectivity suppression by means of sub-resolution gratings which is required for stitching. We explore the behavior of the exposure field black border (BB) edge and formulate recommendations for specifications on BB edge control as well as pattern placement and pattern fidelity at the black border. Finally, we conclude that the mask performance is a key enabler of High NA stitching.
Mask stacks comprising of alternative absorber materials with various optical properties (n and k values) may allow further improvements in EUV imaging. In a strive towards dose reduction and advancement of resolution limits in EUV lithography, such masks are brought up for consideration. In this work, we evaluate a novel low-n absorber mask with a low EUV absorber reflectivity for dark field Line/Space (LS) printing and compare it to a traditional Ta-based absorber mask. For the novel low-n mask, we experimentally confirm the reflectivity vs. the Ta-based reference mask. Through simulations and experiments at 0.33 numerical aperture (NA), we evaluate the LS imaging performance in terms of best focus through pitch. At the anchor LS pitch 28nm, we report the exposure latitude and the Mask Error Enhancement Factor (MEEF) and compare these metrics to the imaging performance of a Ta-based mask. This work adds understanding to the patterning benefits and limitations of alternative absorber mask stacks in the case of Metal direct print applications.
The combination of High NA EUV anamorphic projection optics and unchanged mask-blank size result in a “High NA field” with a maximum size of 26x16.5 mm² at wafer level. Therefore, to create a die larger than the High NA full field, two images are stitched together. So-called in-die stitching is enabled by a combination of design, mask, OPC, process, and scanner solutions. We present an overview of our learnings about at-resolution stitching based on a representative experimental study at NA=0.33, in preparation for tomorrow’s NA=0.55. For a pitch 28nm vertical line-space, optimum conditions are confirmed experimentally to create a robust stitch. A P28 LS is measured post-stitching utilizing either a Ta absorber or a low-n absorber. For the latter, the higher reflectivity is experimentally mitigated by using sub-resolution-gratings. We also quantify the imaging impact of the transition between the absorber and the black border in the stitching region.
High-NA EUV lithography is being prepared for the next stage of volume production of state-of-the-art integrated devices. First wafer exposures on ASML’s EXE5000 are expected early in 2024. Beyond assessment of the benefit of high-NA by simulation, ZEISS AIMS EUV offers the potential to compare the imaging benefit of 0.55NA to the established 0.33NA, through aerial image analysis of dedicated mask patterns. The recently available capability of high-NA imaging on AIMS® EUV was applied to compare options for imec’s logic patterning roadmap, specifically for tip-to-tip structures (T2T). Beyond direct comparison of 0.33 and 0.55 NA, low-n absorber was compared to conventional Ta-based absorber. Moreover, in view of anamorphic imaging at high-NA, T2T pattern orientation was compared, i.e., either along the 4X exposure slit direction or along the 8X scan direction. Lastly pattern tonality, i.e., darkfield versus lightfield, were evaluated side by side. The comparisons were made for selected, yet not optimized, dipole-like sources. Beyond normalized intensity log-slope (NILS) for the line-space part, the through-focus analysis comprised ILS and required bias for shrinking T2T size. The results show that 0.55NA provides clear advantages, but their variation among absorber type, T2T pattern orientation and tonality highlight the potential of preferred combinations. Such are suggested as starting points for further optimization.
Extreme ultraviolet lithography (EUVL) system at 0.33 numerical aperture (NA) has been used for high volume manufacturing of advanced technology node devices. As pattern pitch shrinks, low-k1 imaging becomes necessary but it typically suffers from reduced imaging contrast. In the case of low-k1 imaging, critical dimension (CD) variation on EUV mask enhances on wafer, which is called Mask Error Enhancement Factor (MEEF). CD variation for tighter line and space (L/S) features on the photomask has taken up a large fraction of wafer CD variation. Therefore, it is important to balance improvement of Normalized Image Log Slope (NILS) and MEEF. Understanding the mask contribution to EUVL is one of the important initiatives to further optimize 0.33-NA EUVL employability towards pushing down pitch scaling. The optical properties of the EUV mask absorber, which are the refraction index n and extinction coefficient k, have potential to improve NILS and MEEF. Low-n attenuated phase-shift mask (attPSM) is expected to mitigate mask three dimensional (M3D) effects and to enhance the image contrast compared to traditional Ta-based absorber mask. In this study we experimentally evaluated the MEEF on the drawn feature size, illumination, and mask absorber properties to characterize the impact of these optical factors on MEEF for the appropriate condition using the NXE:3400B EUV scanner. This paper covers two types of mask absorber materials which are Ta-based and low-n attPSM. We experimentally demonstrated that low-n absorber EUVL mask is expected to improve the balance of MEEF at vertical L/S through pitch due to suppression of best focus variation. We also tried to identify the suitable absorber optical properties in terms of MEEF and NILS on both 0.33-NA and 0.55-NA EUVL through rigorous lithographic simulations.
In this work we continue to validate both by experiment and simulations the lines and spaces (LS) printing performance in terms of background printing and mask 3D effects with a dark field (DF) low-n absorber mask with 13% absorber reflectivity vs. a traditional Ta-based absorber mask. The brightness of the low-n absorber is the reason for a brighter background, what can have an impact on the remaining resist height after exposure. We experimentally verify by AFM the remaining resist height for different pitches through dose and through focus vs. the background for exposure with low-n and Ta-based absorber masks. It is also expected that such low-n absorber masks are more sensitive to mask 3D effects. Therefore, we study M3D effects on horizontal LS and 2Bars. There we evaluate Pattern Shift through focus as well as a 2Bar CD asymmetry through slit and through focus. We also measure MEEF for various LS pitches.
An increased interest to stitching for High NA EUVL is observed; this is driven by expected higher demand of larger size chips for various applications. In the past a recommendation was published [1] to have 1-5 um band where no critical structures of a High NA layer would be allowed. In [2], we have introduced new insights on at-resolution stitching. In this publication, we present new experimental results obtained on NXE:3400B scanner. In the past we showed NXE feasibility results of vertical lines and contact holes stitching at relaxed resolution (40-48 nm pitch) in a single wafer location. In this study we evaluate stitching behavior through slit at more aggressive resolutions (P36 and P24 lines / spaces). We provide an overview of interactions in the stitching area such as aerial image interactions, absorber reflection, absorber to black border transition, black border vicinity impact and show corresponding experimental and simulations results. We formulate initial requirements for black border edge placement control and show performance of new masks. For stitching with low-n masks, we discuss using sub-resolution gratings to suppress the elevated mask reflectivity. We show rigorous simulations of stitched images, its sensitivity to overlay errors and propose mitigation mechanisms for OPC. Finally, an overview of stitching enablers will be described: from improved reticle black border position accuracy and absorber reflectivity control to mask resolution and OPC requirements.
We study the effect of phase variability as an aspect of mask roughness that could contribute to Edge Placement Error (EPE) on the wafer. Phase variability in the diffracted light arises through non-specular reflection from rough surfaces or local thickness variation of the ruthenium capping layer. This leads to a speckle pattern in the aerial image intensity. Simulations were performed using representative values of mask rms and correlation length from literature and rough absorber contours extracted from mask images. The aim was to identify how such a contribution manifests in the lithographic performance of a 44 nm pitch L/S pattern. In simulation, this type of mask roughness leads to increased CD and pattern placement variability in defocus, local best focus shifts and Bossung tilts. Measurements with AIMS EUV of the pattern on reticle showed similar effects in the aerial image. Finally, we gauge the impact on-wafer by isolating the systematic variability through focus for two illuminations, one sensitive to speckle and another insensitive one.
BackgroundOne of the ways to push down resolution limits in extreme ultraviolet (EUV) lithography is to use alternative masks. New types of absorbers are being broadly investigated for current 0.33 NA as well as for high NA of 0.55.AimWe study the imaging performance of a low-n/low-k absorber (ABS) dark field (DF) mask for lines and spaces (LS) printing at 0.33 NA.ApproachExperimentally and via simulations, we investigate the use of low-n DF masks for a metal direct print application and compare low-n mask performance with Ta-based ABS masks.ResultsCompared to a Ta-based ABS mask used nowadays, the low-n DF mask brings contrast gain for dense LS. Also, for low-n masks, we observe large best focus (BF) shifts for isolated features with respect to dense features and change of bias. Hence, strong mask critical dimensions (CD) control is needed. We demonstrate how adding assist features can align BF through pitch. In addition, placing subresolution assist features (SRAFs) significantly enhances exposure latitude for semi/iso and iso-LS and improves the overlapping process window for selected cases.ConclusionsThese investigations show that low-n DF masks can enhance the imaging of LS through pitch if SRAFs are used and a good mask CD control is achieved. Our work further adds fundamental understanding to advantages observed for a metal direct print application of alternative ABS masks for the current and future EUV tools.
One of the ways to push down resolution limits in EUV lithography is to use alternative masks. In this work, we study the performance of a low-n absorber dark field (DF) mask for L/S printing.
Comparing to TaBN absorber mask, low-n DF mask brings contrast gain for dense L/S. Yet we observe large best focus shifts for isolated features.
In this work we demonstrate how adding assist features can resolve this limitation.
Controlling the Local CD Uniformity is important for the implementation of EUV lithography in high-volume production. Spatial frequency breakdown of stochastic effects and identification of stochastic noise contributors may help us to understand the current performance and suggest possibilities and pathways for future improvement. In this work, we look for potentially hidden sources of systematic local variability by collecting and analyzing CD metrology data over lengths greater than a single SEM field of view (FOV). Fourier analysis of the CD data is used to identify any systematic variability. This work will enable a more accurate breakdown of local variability. Additionally, using the length scale of any observed systematic signal we can attempt to trace back the origin and reduce or eliminate its source.
High-NA EUV lithography will improve resolution by increasing the EUV scanner NA from 0.33 to 0.55. To fully benefit from the resolution gain offered by the better scanner lens, it is key to develop and improve the EUV ecosystem. The role of the ecosystem is to ensure timely availability of the advanced resist materials, photomasks, metrology techniques, OPC/imaging strategies, and patterning techniques. In this context, in parallel to the EXE:5000 0.55 NA EUV scanner manufacturing, imec and ASML, together with our partners, are addressing the main challenges and needs towards High-NA ecosystem readiness. In this paper, we will discuss the key findings from simulations and experimental work to develop the high-NA lithography ecosystem (resist and patterning, mask technology) and highlight the key areas where development is needed.
In order to improve logic via printing we propose staggered vias to effectively regularize randomly placed vias in a typical logic design. We accomplish this (i) by forcing via placement on a staggered sub-grid of the standard manhattan grid and (ii) by placing smaller fixed-size via Sub-Resolution Assist Features (SRAFs) on all remaining empty positions of the staggered grid. We devised a methodology to create such staggered via placement in a standard Place&Route (PNR) design flow and evaluated the concept on a 64-bit (64b) ARM core implementation through a PowerPerformance-Area (PPA) analysis. From a PNR run-time perspective and PPA analysis this looked a very viable implementation with little to no disadvantages compared to standard via placement. Finally, to experimentally test and compare staggered vias and against standard manhattan vias, we designed a via mask with both staggered and standard manhattan vias patterns and exposed them on an 0.33NA NXE3400 EUV lithography system. Analysis of experimental results on a 38nm via pitch show 40% smaller best-focus shift across the slit, and 20% smaller via-via CD variation for staggered vias compared to Manhattan vias with regular SMO.
We evaluated the printability of patterns relevant for Logic Metal at P28nm (L/S and T2T) on wafer using EUV single expose. We compare illumination sources with and without fading correction as well as Bright field / Dark field mask tonalities and NTD MOR / PTD CAR resist. In simulations, Bright field (BF) imaging gives better image quality than Dark field (DF) at small pitch/CD. It also enables smaller T2T. To avoid tone inversion (assuming dual damascene processing), BF imaging requires the use of a NTD resist. On wafer, exposure latitudes increase for a BF/NTD choice, concurrent with simulations, even after correcting out SEM shrinkage. Also, T2T CD is reduced. In terms of illumination, we compare dipole sources to fading corrected sources. As fading correction, we have both induced aberrations (Z6-corrected dipole) and monopoles. As expected, a fading correction significantly reduces best focus differences of L/S through pitch and T2T. Moreover, the Z6-corrected dipole is optimal to print small T2T with better uniformity. Finally, we observe that PTD and NTD MOR resist utilize the same aerial image differently. NTD resist can leverage pupil shapes with high exposure latitude, but low depth of focus, better than PTD resist. Fading correction via induced aberrations naturally produces such sources. In summary, the preferred option is a Z6-corrected dipole for best focus alignment and sharp T2T, together with BF imaging to allow higher L/S exposure latitudes and small T2T. Combining this choice with NTD MOR resist avoids tone inversion and leverages the illumination source optimally.
Anamorphic imaging enables NA=0.55 in future EUV systems. At unchanged reticle size, the maximum on-wafer image size is reduced from the today’s full-field to a half-field of 26mm by16.5mm. Though most of the applications use a chip smaller than a half field, some of them still need a larger chip. To realize an on-wafer full-field with an NA=0.55 EUV system, two half-field images need to be stitched: abutting two images from a single reticle or from two different reticles, depending on the application. Using the ASML NA=0.33 NXE system at imec, “at-resolution stitching” on wafer is used to explore experimentally how CD and pattern placement are affected by abutting images of critical patterns located at the reticle edge. Using various test masks, a pattern placement error is measured within a 10μm range (1x) from the Black Border (BB) edge. Ideally it will be avoided by an adequate mask manufacturing process. We also measure a crosstalk between the two abutting images, that is attributed to a flare crosstalk, impacting the CD of critical patterns. Dummy tiles and a flare OPC need to compensate for this effect similarly to the correction inside the image. Finally, at short range, aerial images of the critical patterns at the very edge of abutting images can crosstalk. To avoid a complex OPC and tight specifications on the BB edge, an exclusion band is recommended to keep those aerial images from interacting. With the adequate placement solution at mask BB edge and with a flare compensation solution implemented, an exclusion band of about 1μm at wafer level is sufficient to support a robust stitching scenario for anamorphic High NA imaging. Its impact on various types of applications is discussed.
BSWs are non-radiative electromagnetic waves confined at the interface between a truncated periodic dielectric multilayer and a surrounding media. As an alternative to SPPs (Surface Plasmon Polaritons), BSWs show dramatically enhanced propagation lengths up to several millimeters range and provide new optical opportunities such as the possibility to obtain TE or TM-polarized surface waves. They have found numerous applications in vapor sensing, biosensing, fluorescence detection and imaging, and integrated optics.
In this work, we propose a 1DPhC with a thin film of LiNbO3 (TFLN) as the top layer of the multilayer structure. The bonding of LiNbO3 into the 1DPhC structure brings anisotropy and nonlinear properties into the whole crystal allowing the tunability of the BSW devices.
Here we present 1DPhCs, which are able to sustain surface waves at the LiNbO3/air interface. Two different geometries have been studied, fabricated and optically characterized. The first one is based on the LiNbO3 membrane suspended in air and the second one is held by a stable glass platform.
The multilayer of the membrane based crystal is as following: air/6 pairs of Si3N4(200nm) and SiO2(215nm)/TFLN(1.1μm) – polished from bulk LN/air. The multilayer of the glass supported crystal is as following: glass/UV glue/6 pairs of Si3N4(220 nm) and SiO2(490nm)/TFLN(386nm)/air. 1DPhCs were characterized in Kretschmann configuration at visible and IR wavelengths.
Bloch surface waves (BSWs) are electromagnetic surface waves which can be excited at the interface between periodic dielectric multilayer and a surrounding medium. In comparison with surface plasmon polaritons these surface states perform high quality factor due to low loss characteristics of dielectric materials and can be exited both by TE and TM polarized light. A platform consisting of periodic stacks of alternative SiO2 and Si3N4 layers is designed and fabricated to work at the wavelength of 1.55 µm. The platform has an application in sensing and in integrated optics domain. A standard way of BSW excitation is coupling via Kretschmann configuration, but in this work we investigate a grating coupling of BSWs. Grating parameters are analytically and numerically optimized by RCWA and FDTD methods in order to obtain the best coupling conditions. The light is launched orthogonally to the surface of the photonic crystal and the grating. Due to a special grating configuration we demonstrate directionality of the BSW propagation depending on polarization of the incident light. The structure was experimentally realized on the surface of the photonic crystal by FIB milling. Experimental results are in a good agreement with a theory. The investigated configuration can be successfully used as a BSW launcher in on-chip all-optical integrated systems and work as a surface wave switch or modulator.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.