One of the major challenges associated with insertion of a directed self-assembly (DSA) patterning process in high volume manufacturing (HVM) is finding a non-destructive, yield-compatible, consistent critical dimension (CD) metrology process. Current CD scanning electron microscopy (CD-SEM) top-down approaches do not give the profile information for DSA patterns, which is paramount in determining the subsequent pattern transfer process (etch, for example). SEMATECH, in cooperation with some of the leaders of the metrology and DSA materials supply chain, has led an effort to address such metrology challenges in DSA. We have developed and evaluated several techniques (including a scatterometry-based method) that are potentially very attractive in determining DSA pattern profiles and have embedded bridging in such patterns without resorting to destructive cross-section imaging. We show how such processes could be fine-tuned to enable their insertion for DSA pattern characterization in an HVM environment.
Directed self-assembly (DSA) is being actively investigated as a potential patterning solution for future generation devices. While SEM based CD measurement is currently used in research and development, scatterometry-based techniques like spectroscopic CD (SCD) are preferred for high volume manufacturing. SCD can offer information about sub-surface features that are not available from CD-SEM measurement. Besides, SCD is a non-destructive, high throughput technique already adopted in HVM in several advanced nodes. The directed self assembly CD measurement can be challenging because of small dimensions and extremely thin layers in the DSA stack. In this study, the SCD technology was investigated for a 14 nm resolution PS-b-PMMA chemical epitaxy UW process optimized by imec. The DSA stack involves new materials such as cross-linkable polysterene (XPS) of thickness approximately 5 nm, ArF immersion resist (subsequently removed), -OH terminated neutral brush layer, and BCP material (Polystyrene-blockmethyl methacrylate of thickness roughly 20 to 30 nm). The mask contains a large CD and pitch matrix, for studying the quality of self-assembly as a function of the guide pattern dimensions. We report on the ability of SCD to characterize the dimensional variation in these targets and hence provide a viable process control solution.
KEYWORDS: Metals, Scatterometry, Silicon, Transmission electron microscopy, Metrology, Semiconducting wafers, Critical dimension metrology, Data modeling, Back end of line, Semiconductors
The ability to extract critical parameters using scatterometry depends on the parameter sensitivity and correlation at
different wavelengths. These, in turn, determine the key metrics: accuracy, precision, and tool-to-tool matching.
Parameter sensitivity and correlation can vary drastically, depending on whether the oblique incident light beam is
parallel (azimuth angle = 90 degrees), perpendicular (azimuth angle = 0 degrees), or at an intermediate angle to the
measured structures. In this paper, we explore the use of both variable- and multiple-azimuth (AZ) (or multi-AZ) angle
spectroscopic ellipsometry (SE) to optimize the measurement performance for different applications.
The first example compares the sensitivity and results using SE at 0 and 90 degree AZ angles for a BEOL post-litho
metal trench application. We observe up to a sixfold improvement in key metrics for critical parameters using 90
degree over 0 degree AZ angle spectra.
The second example illustrates the benefits of a multiple-AZ angle approach to extract critical parameters for a two-dimensional
logic High-K Metal Gate (HKMG) structure. Typically, this approach simultaneously fits two sets of SE
spectra collected from the same location on the wafer at different AZ angles with the same physical model. This helps
both validate and decorrelate critical parameters, enabling robust measurements. Results show that, for this application,
the measurement performance metrics for each critical parameter are improved in almost every case.
We review early challenges and opportunities for optical CD metrology (OCD) arising from the potential
insertion of double patterning technology (DPT) processes for critical layer semiconductor production. Due to the
immaturity of these new processes, simulations are crucial for mapping performance trends and identifying potential
metrology gaps. With an analysis methodology similar in spirit to the recent NIST OCD extendability study1, but with
aperture and noise models pertinent to current or projected production metrology systems, we use advanced simulation
tools to forecast OCD precision performance of key structural parameters (eg., CD, sidewall angle) at litho (ADI) and
etch (ACI) steps for a variety of mainstream optical measurement schemes, such as spectroscopic or angle-resolved, to
identify strengths and weaknesses of OCD metrology for patterning process control at 32 and 22nm technology nodes.
Test case geometries and materials for the simulated periodic metrology targets are derived from published DPT process
flows, with ITRS-style scaling rules, as well as rather standard scanner qualification use cases. Consistent with the
NIST study, we find encouraging evidence of OCD extendability through 22nm node dense geometries, a surprising and
perhaps unexpected result, given the near-absence of published results for the inverse optical scattering problem for
periodic structures in the deep sub-wavelength regime.
Aggressive CMOS transistor scaling requirements have motivated the IC industry to look beyond simply reducing the
film thickness or implementing different gate stack materials towards fundamentally redesigning the transistor
architecture by forcing the silicon channel to protrude upwards from the planar (2D) substrate. These 3D transistors,
namely FinFETs, ideally offer at least a 2X improvement in the drive current since more than one surface is available,
for which the minority carrier population can be adjusted by an applied voltage. However, the ability to modulate this
voltage is known to suffer due to the non-uniform film deposition on the three sides of the Si Fin. This concern is of
immediate interest because it impedes device performance and future integration since subtle differences among the
thicknesses on each side of the Fin will negatively impact threshold voltage and the capability to tune the effective work
function. It is therefore necessary to have an in-line metrology capability that can properly characterize and understand
the deposition of both the high-k and metal gate film on the sidewalls of the Fin in order for FinFETs to ultimately
replace planar CMOS devices. We will report on the ability of scatterometry to accurately measure the high-k and
metal film thickness on the sidewall of the FinFET. The results will be discussed in detail with emphasis on sensitivity
towards fin critical dimension (CD) and sidewall thickness, and comparison of the conclusions reached from the
analysis with cross-sectional transmission electron microscopy (TEM) data.
Spectroscopic critical dimension (SCDTM) metrology on line gratings has previously been shown to be a sensitive and useful technique for monitoring lithographic focus and exposure conditions. Line end shortening (LES) effects are sensitive to focus and potentially more sensitive to focus variation than side wall angle or other profile parameters of line gratings. Rectangular line segment structures that exhibit line-end shortening behavior are arranged in a rectangular two-dimensional (2D) array to provide a scatterometry signal sensitive to the profile of the thousands of line ends in the measurement beam spot. Spectroscopic ellipsometry (SE)-based scatterometry measurements were carried out on 2D array targets of rectangular features exposed in a focus-exposure matrix (FEM). The focus and exposure sensitivities of multiple shape parameters were found to be good and uniquely separable. In addition, the side wall angle of the line ends was found to be nearly linearly dependent on focus and provide necessary focus direction information. Focus and exposure can be determined from SCD measurements by applying a model generated to describe the focus-exposure behavior of multiple shape parameters using KLA Tencor's KT Analyzer software. Several different models based on different combinations of shape parameters were evaluated. Focus measurement precision of 3nm 3σ was obtained, which will be useful for lithography processes with tight depth of focus.
Lot-to-lot ADI CD data are generally used to tighten the variation of exposure energy of an exposure tool through an APC feedback system. With decreasing device size, the process window of an exposure tool becomes smaller and smaller. Therefore, whether the ADI CD can reveal the real behavior of a scanner or not becomes more and more a critical question, especially for the polysilicon gate layer. CD-SEM has generally been chosen as the metrology tool for this purpose. Because of the limitations of top-down CD-SEMs, an APC system could be easily misled by improper ADI CD data if the CD data were measured on a T-topped photo resist. ArF resist shrinkage and line edge roughness are also traditional causes for improper CD feedback if the user did not operate the CDSEM carefully. Another candidate for this APC application is spectroscopic-ellipsometry-based scatterometry technology, commonly referred to as SpectraCD. In recent studies, SpectraCD was proven to be able to reveal profile variation with excellent stability. The feasibility of improving a CDSEM-based APC system by a SpectraCD-based system in a high-volume manufacturing fab is therefore worthy of study.
This study starts from an analysis of the historical data for the polysilicon ADI CD of a 130 nm product. Two different sets of CD measured from the two different metrology tools were analyzed. In the fab, CDSEM was the metrology tool chosen for the APC feedback. The CD data measured by SpectraCD over a 2 month timeframe were plotted as a CD trend chart of the specific exposure tool. There are several trend-ups and trend-downs observed, even though the overall CD range is small. After a series of analyses, the exposure tool has been proven to be quite stable and the CD data measured by SpectraCD also reveal the real behavior of the exposure tool correctly. The scanner is shown to have been misled by improper CD feedback. In comparison with CDSEM, the linearity of the correlation between ADI and AEI CDs, which represents the consistence of etch bias, can also be improved from 0.4 to 0.8 by SpectraCD. The root causes are still under investigation, but one suspected reason is related to resist profile. All the analysis results will be reported in this paper. The data provided sufficient motivation for switching the APC feedback system of the fab from a CDSEM-based system to a SpectraCD-based system. The results of the new APC system will also be discussed.
In this paper, we evaluate several approaches for proximity matching on a 193nm scanner system such as image contrast tuning, illumination tuning and photoresist tuning. Both experimental and simulation studies are carried out to reveal the differences between approaches. We find that it is very important to determine the root cause of proximity mismatch before attempting proximity matching, and that spectroscopic scatterometry is an excellent tool for OPC tuning
KEYWORDS: Scatterometry, Oxides, Atomic force microscopy, Semiconducting wafers, 3D metrology, Silicon, Process control, Scatter measurement, 3D modeling, Etching
Polysilicon recess etch process control in deep trench arrays of a DRAM requires reliable measurements of the recess depth directly in the trench array. Until now Atomic Force Microscopy (AFM) has been used for post etch depth measurements. However, with decreasing lateral trench dimensions, AFM may approach its limits especially with respect to the available bottom travel length. Consequently, alternative metrology methods are of interest. Scatterometry is an optical, model based measurement technique which potentially allows a full reconstruction of the measured structure. The measurement of the polysilicon recess presents a number of challenges: (1) the recess depth (150nm to 300nm) is much smaller than the total height of the complete structure (several microns), (2) spacer-like sidewall layers are present, while (3) unpredictable effects may be present (e.g. voids in the polysilicon fill) and would be difficult to include into a grating model. In addition, for measurements within the trench array 3D capability is required. In this work we analyze the capability of 2D and 3D scatterometry for polysilicon recess depth process control. We evaluate parameter sensitivities, parameter correlations, measurement robustness, depth correlation to the trench array, precision and accuracy for a wide range of process variations by comparing results obtained by scatterometry to those obtained by AFM and SEM cross sections. We show that a simplified grating model provides accurate measurements in lines/spaces structures (2D). However, in trench arrays (3D) the trench depth sensitivity is critical.
A small notch or foot existing at the bottom of a polysilicon gate is a common issue for etching processes. The small notch or foot could have a major impact on the length of the polysilicon gate, and the performance of the device would then be impacted significantly, especially for cutting-edge devices. This paper demonstrates the capability of a spectroscopic ellipsometry based profile technology, SpectraCD, as a new metrology tool to monitor polysilicon gate process at 130 nm and 90 nm nodes. Firstly, the capability of SpectraCD as a metrology technology was studied, including dynamic precision and CD correlation. Dynamic precision in the range of 0.1~0.4 nm was demonstrated repeatedly in this study. CD correlation with CDSEM also showed a very linear result. R-squared values of ~0.99 are presented. Secondly, by comparison with images from cross-sectional SEM (XSEM) and TEM (XTEM), it has been proved in this study that SpectraCD can consistently flag different profile excursions of polysilicon gate, e.g., small notching, footing, or undercut. The size of the footing or notch reported by SpectraCD shows a linear correlation with the size extracted from XTEM images, which demonstrates quantitatively SpectraCD capability for detecting profile excursions. Finally, linear correlation between the bottom CD from SpectraCD and the gate lengths determined from electrical test (Lcap) will be presented.
Advanced integrated metrology capability is actively being pursued in several process areas, including etch, to shorten process cycle times, enable wafer-level advanced process control (APC), and improve productivity. In this study, KLA-Tencor's scatterometry-based iSpectra Spectroscopic CD was integrated on a Lam 2300 Versys Star silicon etch system. Feed-forward control techniques were used to reduce critical dimension (CD) variation. Pre-etch CD measurements were sent to the etch system to modify the trim time and achieve targeted CDs. CDs were brought to within 1 nm from a starting CD spread of 25 nm, showing the effectiveness of this process control approach together with the advantages of spectroscopic CD metrology over conventional CD measurement techniques.
The accelerating trend to smaller linewidths and low-k1 lithography makes metrology and process control more challenging with each succeeding technology generation. Optical CD metrology based on spectroscopic ellipsometry provides higher precision, improved matching, and richer information for line width and shape (profile) control which complement conventional litho metrology techniques. Analysis of site-to-site, within-field, field-to-field, and cross-wafer CD and line-shape distributions using KLA-Tencor SpectraCD permits separation of sources of variation between the stepper and track thus enabling proper process control. Focus-exposure analysis using SpectraCD data provides a more complete understanding of the lithography process window. Comparison between SpectraCD CD measurements on nominal 1:5 Line/Space ratio grating targets to isolated line CD-SEM measurements show excellent correlation over a large focus-exposure process range, including sub-100nm features. This result provides verification that SCD measurements on grating targets can be used to monitor and provide feedback to lithography process for isolated lines.
We have measured the active region size dependence of the high speed modulation characteristics of vertical cavity surface emitting lasers with lateral current injection. The moveout rate df0d(root)P and K factor determined from a curve fit to the small signal modulation data were df0d(root)P approximately equals 4.5-6.5 GHz/(root)mW and K approximately equals 0.68-0.85 ns for both 10 and 20 micron diameter devices. The maximum resonance frequency was limited by device heating, and a parasitic type of rolloff in the modulation response was observed, which we fit to a diffusion capacitance model.
We have applied automated wafer scale testing methods to the characterization of arrays of vertical cavity surface emitting semiconductor lasers. The hardware and software for recording light versus current, current versus voltage, optical spectra, and high speed modulation performance are described.
Vertical cavity surface emitting lasers (VCSELs) with a low threshold current and a large modulation bandwidth are desirable for applications in digital and analog optoelectronic signal processing and optical interconnection. These lasers emit light perpendicular to the surface of the semiconductor wafer and allow processing and optoelectronic integration using planar techniques. They also have a short optical cavity and small active volume that promise high speed and low threshold current operation. In this paper we describe the high frequency response characteristics of low threshold current surface emitting lasers we have developed. The laser is a GaAs vertical cavity surface emitting laser with a single quantum well active region and a short Bragg reflector cavity. We describe the key dc operation characteristics of the laser, such as threshold current, device resistance, and differential quantum efficiency that are important to laser high speed operation. The investigation of the dynamic response of the lasers under various direct current modulation conditions is discussed, and experimental results on modulation response and second harmonic distortion are presented. The limitations on high speed response and the effect of parasitic impedance on dynamic response of the laser are described.
A GaAs single quantum well mushroom structure surface emitting laser with a threshold current as low as 1.6 mA and a large output power of 2.0 mW operating at continuous wave (CW) room temperature condition is reported. The sample was grown by molecular beam epitaxy (MBE) and mainly consisted of a 300 $ANS GaAs single quantum well as an active layer cladded by two AlAs/Al0.1Ga0.9As multilayers as the top and bottom mirrors. The devices were fabricated by chemical mesa etching and undercutting to form a mushroom structure. A low series resistance of 250 ohms was obtained on devices with a 10 X 10 micrometers 2 constricted active region using a selective zinc diffusion. The laser operated at 860 nm with a spectral linewidth of approximately 0.5 angstroms.
A vertical-cavity surface-emitting laser diode capable of narrow-line emission at injection currents below 1 mA during room temperature dc operation is described. It is estimated that surface-emitting laser diodes can potentially be modulated to speeds as high as 30 GHz. It is argued that phased array operation at high output powers should be possible, but that problems with thermal performance, wafer uniformity, and circuit layout need to be resolved.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.