High NA anamorphic EUV scanner has anamorphic optics with 8x demagnification in y direction and thus twice smaller exposure fields 26x16.5 mm2. In-die stitching may be required in order to create dies larger than High NA exposure field. In this work we consider stitching of vertical lines and spaces (LS) and establish methodology of stitching evaluation including detailed contour metrology at stitch, across wafer performance, process window and contrast metrics and sensitivity to single layer overlay between two stitched fields.
In this paper we will present initial results for logic and memory features imaged with the TWINSCAN EXE:5000 at the ASML-imec high NA lab after successful etch pattern transfer. For logic applications random logic metal designs (consisting of tight pitches and aggressive tip-to-tips) and corresponding via structures have been characterized for A14 and A10 nodes. As well, bidirectional designs enabled by high NA will be described. For memory applications, results from BLP/SNLP layer for D1d and D0a nodes will be presented.
High NA EUV lithography has become a reality. The high NA EUV scanner (EXE:5000) produces exposure fields of 26x16.5 mm2 which is twice smaller than standard fields on other scanners. For certain use cases (e.g. when a die is larger than the High NA field) stitching between two exposure fields might be required. Stitching of vertical lines across two exposure fields has already been demonstrated in several publications. In this publication, we pay attention to photomask related aspects of stitching which are multifold. We draw attention to the need for mask resolution enhancement which will enable advanced OPC at stitching. We will show stitching behavior on both Tantalum and low-n masks and demonstrate low-n absorber reflectivity suppression by means of sub-resolution gratings which is required for stitching. We explore the behavior of the exposure field black border (BB) edge and formulate recommendations for specifications on BB edge control as well as pattern placement and pattern fidelity at the black border. Finally, we conclude that the mask performance is a key enabler of High NA stitching.
The combination of High NA EUV anamorphic projection optics and unchanged mask-blank size result in a “High NA field” with a maximum size of 26x16.5 mm² at wafer level. Therefore, to create a die larger than the High NA full field, two images are stitched together. So-called in-die stitching is enabled by a combination of design, mask, OPC, process, and scanner solutions. We present an overview of our learnings about at-resolution stitching based on a representative experimental study at NA=0.33, in preparation for tomorrow’s NA=0.55. For a pitch 28nm vertical line-space, optimum conditions are confirmed experimentally to create a robust stitch. A P28 LS is measured post-stitching utilizing either a Ta absorber or a low-n absorber. For the latter, the higher reflectivity is experimentally mitigated by using sub-resolution-gratings. We also quantify the imaging impact of the transition between the absorber and the black border in the stitching region.
Several stitching approaches are considered to secure patterning performance across the stitch boundary and at ASML Brion we are developing solutions to support patterning at resolution across the stitch. Sensitivity analysis is performed to quantify contrast, CD control, and pattern placement performance across the stitch boundary for holes and line/space layers and experimental CD control and experimental process capability and reticle patterning performance is presented and compared to the latest simulation and modelling capability using calibrated 0.33NA models and exposures. Especially important is to quantify cross talk of model accuracy errors, reticle CD errors, and placement errors in the stitching region where advanced models, scanner control and process design strategies are required.
High-NA EUV lithography is being prepared for the next stage of volume production of state-of-the-art integrated devices. First wafer exposures on ASML’s EXE5000 are expected early in 2024. Beyond assessment of the benefit of high-NA by simulation, ZEISS AIMS EUV offers the potential to compare the imaging benefit of 0.55NA to the established 0.33NA, through aerial image analysis of dedicated mask patterns. The recently available capability of high-NA imaging on AIMS® EUV was applied to compare options for imec’s logic patterning roadmap, specifically for tip-to-tip structures (T2T). Beyond direct comparison of 0.33 and 0.55 NA, low-n absorber was compared to conventional Ta-based absorber. Moreover, in view of anamorphic imaging at high-NA, T2T pattern orientation was compared, i.e., either along the 4X exposure slit direction or along the 8X scan direction. Lastly pattern tonality, i.e., darkfield versus lightfield, were evaluated side by side. The comparisons were made for selected, yet not optimized, dipole-like sources. Beyond normalized intensity log-slope (NILS) for the line-space part, the through-focus analysis comprised ILS and required bias for shrinking T2T size. The results show that 0.55NA provides clear advantages, but their variation among absorber type, T2T pattern orientation and tonality highlight the potential of preferred combinations. Such are suggested as starting points for further optimization.
The new high numerical aperture (NA) Extreme Ultraviolet Lithography (EUVL) with a NA of 0.55 is being developed at ASML, which is using an anamorphic projection system with the demagnification of 4× in xdirection and 8× in y-direction. Compared to the traditional 0.33NA EUV scanner with full-field image size of 26 × 33mm2, 0.55NA EUVL reduces the exposure field size to half-field (26 × 16.5mm2), due to this 8× demagnification in y-direction and the reticle size remaining unchanged (six-inch square). Therefore, in-die stitching between two exposures is needed for the applications requiring larger than half-field size. To achieve in-die stitching in practical applications at advanced node, performing model based optical proximity correct (OPC) is an essential step. Therefore, a complete process modeling and OPC flow is required. To build an accurate OPC model, the interaction effects between two stitching fields require some special considerations, such as aerial image interaction, optical proximity effect among the stitching patterns, mask absorber reflection, black border proximity effect, as well as the stray light from the neighboring fields effect. All these effects must be captured by specific models. In this paper, we will investigate the in-die stitching effects and solutions through simulation and wafer data. Thus, to collect the wafer proof data, various stitching test patterns have been designed and placed on imec test masks, and the wafer data will be obtained on imec 0.33NA EUV scanner.
In this publication, we consider stitching enablement for High NA EUVL, specifically ‘zooming in’ on vertical line stitching used to create a physical connection between fields on wafer. We discuss stitching CD metrology and analysis using experimental and simulation results for pitch 36 nm dense lines. Experimental results were obtained on the NXE:3400B scanner at imec. CD uniformity across wafer and through slit are investigated as well as the impact from image to image overlap variation and the contribution of reticle CD errors and mask 3D shadowing. In the previous publications, we gave an overview of stitching challenges and various interactions in the stitching zone. In this publication, we focus on the aerial image interaction. Along a stitched vertical line, there are variations in CD creating a certain CD profile. These CD variations were modeled in a rigorous simulator but also observed experimentally. In order to characterize this behavior, we perform CD profile metrology at the stitch. We investigate the root causes of CD variability at the stitch and propose control mechanisms of stitching optimization. A key control mechanism being optical proximity correction (OPC) as well as overlay control.
Anamorphic magnification in high NA EUV will reduce the maximum wafer area of a single lithographic exposure field in half compared to current exposure equipment. Large die exceeding this area will require the use of two separate masks which must be stitched together. There is a preference within the industry to stich the fields together “at resolution” using features of the same dimension and pitch as those elsewhere in the design. Stochastic lithography simulation is used to model the effects of field stitching on patterning performance with regards to the defectivity process window as a function of X and Y registration. It is observed that the best defectivity performance conditions may vary significantly from those that produce the optimum CD uniformity.
An increased interest to stitching for High NA EUVL is observed; this is driven by expected higher demand of larger size chips for various applications. In the past a recommendation was published [1] to have 1-5 um band where no critical structures of a High NA layer would be allowed. In [2], we have introduced new insights on at-resolution stitching. In this publication, we present new experimental results obtained on NXE:3400B scanner. In the past we showed NXE feasibility results of vertical lines and contact holes stitching at relaxed resolution (40-48 nm pitch) in a single wafer location. In this study we evaluate stitching behavior through slit at more aggressive resolutions (P36 and P24 lines / spaces). We provide an overview of interactions in the stitching area such as aerial image interactions, absorber reflection, absorber to black border transition, black border vicinity impact and show corresponding experimental and simulations results. We formulate initial requirements for black border edge placement control and show performance of new masks. For stitching with low-n masks, we discuss using sub-resolution gratings to suppress the elevated mask reflectivity. We show rigorous simulations of stitched images, its sensitivity to overlay errors and propose mitigation mechanisms for OPC. Finally, an overview of stitching enablers will be described: from improved reticle black border position accuracy and absorber reflectivity control to mask resolution and OPC requirements.
High-NA EUV lithography will improve resolution by increasing the EUV scanner NA from 0.33 to 0.55. To fully benefit from the resolution gain offered by the better scanner lens, it is key to develop and improve the EUV ecosystem. The role of the ecosystem is to ensure timely availability of the advanced resist materials, photomasks, metrology techniques, OPC/imaging strategies, and patterning techniques. In this context, in parallel to the EXE:5000 0.55 NA EUV scanner manufacturing, imec and ASML, together with our partners, are addressing the main challenges and needs towards High-NA ecosystem readiness. In this paper, we will discuss the key findings from simulations and experimental work to develop the high-NA lithography ecosystem (resist and patterning, mask technology) and highlight the key areas where development is needed.
We demonstrate P24 line/space and P28 contact hole printing on wafer using a NXE:3400B EUV scanner. The goal is to enable ecosystem development towards high-NA in a Fab-like environment. We allow for pupil fill ratios down to 6% (illumination efficiency ~35%) and use fading correction by induced lens aberrations. We show that the dose sensitivity for P24 L/S can be improved by more than 30% compared to a standard (leaf-shaped dipole) pupil. For contact holes, both single expose and double L/S expose schemes print contact holes at pitch 28nm in metal oxide resist (NTD), albeit at very different dose.
Anamorphic imaging enables NA=0.55 in future EUV systems. At unchanged reticle size, the maximum on-wafer image size is reduced from the today’s full-field to a half-field of 26mm by16.5mm. Though most of the applications use a chip smaller than a half field, some of them still need a larger chip. To realize an on-wafer full-field with an NA=0.55 EUV system, two half-field images need to be stitched: abutting two images from a single reticle or from two different reticles, depending on the application. Using the ASML NA=0.33 NXE system at imec, “at-resolution stitching” on wafer is used to explore experimentally how CD and pattern placement are affected by abutting images of critical patterns located at the reticle edge. Using various test masks, a pattern placement error is measured within a 10μm range (1x) from the Black Border (BB) edge. Ideally it will be avoided by an adequate mask manufacturing process. We also measure a crosstalk between the two abutting images, that is attributed to a flare crosstalk, impacting the CD of critical patterns. Dummy tiles and a flare OPC need to compensate for this effect similarly to the correction inside the image. Finally, at short range, aerial images of the critical patterns at the very edge of abutting images can crosstalk. To avoid a complex OPC and tight specifications on the BB edge, an exclusion band is recommended to keep those aerial images from interacting. With the adequate placement solution at mask BB edge and with a flare compensation solution implemented, an exclusion band of about 1μm at wafer level is sufficient to support a robust stitching scenario for anamorphic High NA imaging. Its impact on various types of applications is discussed.
The next-generation high-NA EUV scanner is being developed to enable patterning beyond the 3-nm technology node. Design and development of the scanner are based on rigorous litho-simulations. It is important to verify key imaging simulation findings by means of aerial image experiments with representative high-NA scanner characteristics. The first ASML-SHARP joint experiment was done with lines and spaces with pitches down to 16 nm wafer scale (1x). The experimental results confirmed the key litho-simulation findings: central obscuration’s impact on high-NA imaging and mitigations of obscuration’s impact using flex illuminations.
High NA (0.55) EUV lithography will be using anamorphic imaging with asymmetric X and Y magnification (4x8). Imaging at higher NA with specific change of light-rays solid angles at mask level will impact the known mask 3D effects. The SHARP EUV actinic mask-imaging microscope at LBNL allows imaging at NA0.55 emulating the relevant solid angles at mask level. It is therefore a nice tool to measure mask 3D effects experimentally in aerial images both at NA0.33 and at NA0.55.
We will discuss under which conditions SHARP can be used to measure mask 3D effects using a dedicated reticle layout and a suited measurement methodology. The comparison of best focus shift for Lines/Spaces through pitch measured on SHARP to rigorous simulations at NA 0.33 gives us confidence in the tool capability and the measurement methodology. The validated methodology enables unique NA0.55 measurements of best focus shift trends through pitch matching with rigorous simulation trends, increasing our confidence both in the experiment and in the simulations at this unexplored high NA EUV imaging.
With the announcement of the extension of the Extreme Ultraviolet (EUV) roadmap to a high NA lithography tool that utilizes anamorphic optics design, an investigation of design tradeoffs unique to the imaging of anamorphic lithography tool is shown. An anamorphic optical proximity correction (OPC) solution has been developed that models fully the EUV near field electromagnetic effects and the anamorphic imaging using the Domain Decomposition Method (DDM). Clips of imec representative for the N3 logic node were used to demonstrate the OPC solutions on critical layers that will benefit from the increased contrast at high NA using anamorphic imaging. However, unlike isomorphic case, from wafer perspective, OPC needs to treat x and y differently. In the paper, we show a design trade-off seen unique to Anamorphic EUV, namely that using a mask rule of 48nm (mask scale), approaching current state of the art, limitations are observed in the available correction that can be applied to the mask. The metal pattern has a pitch of 24nm and CD of 12nm. During OPC, the correction of the metal lines oriented vertically are being limited by the mask rule of 12nm 1X. The horizontally oriented lines do not suffer from this mask rule limitation as the correction is allowed to go to 6nm 1X. For this example, the masks rules will need to be more aggressive to allow complete correction, or design rules and wafer processes (wafer rotation) would need to be created that utilize the orientation that can image more aggressive features. When considering VIA or block level correction, aggressive polygon corner to corner designs can be handled with various solutions, including applying a 45 degree chop. Multiple solutions are discussed with the metrics of edge placement error (EPE) and Process Variation Bands (PVBands), together with all the mask constrains. Noted in anamorphic OPC, the 45 degree chop is maintained at the mask level to meet mask manufacturing constraints, but results in skewed angle edge in wafer level correction. In this paper, we used both contact (Via/block) patterns and metal patterns for OPC practice. By comparing the EPE of horizontal and vertical patterns with a fixed mask rule check (MRC), and the PVBand, we focus on the challenges and the solutions of OPC with anamorphic High-NA lens.
As minimum feature size shrinks to a metal pitch of 21 nm, the current extreme ultra violet (EUV) lithographic tool with a numeric aperture (NA) of 0.33 will face resolution limit for some critical layers. High NA (0.55) EUV with anamorphic optics or EUV double patterning (DP) at 0.33 NA are being considered for the next generation of lithographic technology. Both the high NA EUV system and EUV DP will enhance resolution relative to current EUV single patterning (SP). Nevertheless, in order to be able to compare EUV DP and High NA EUV processes, important lithographic factors including image contrast, mask three dimension (M3D) effects, process variation band, stochastic effects and local critical dimension uniformity need to be investigated to understand their contributions to process variations. This study was carried out using rigorous lithographic model simulations in Sentaurus Lithography, where strong M3D effects in EUVL are computed physically. We have simulated patterns with both isomorphic and anamorphic optical proximity corrections (OPC) using the rigorous model. The study focuses on 3nm node Via layer designs. These vias need to connect to metal features which have pitches of 21 nm. Simulation results using 0.33 NA SP, 0.33 NA DP, and 0.55 NA anamorphic SP are presented. The benefit of using an alternative mask absorber and a thinner resist as well as the impact of stochastic effects have also been explored. Although a 0.55 NA EUV is expected to produce a superior image to 0.33 NA EUV and to have less impact from overlay errors and stochastic effects, an analysis of process margins of 0.33 NA EUV SD and DP versus 0.55 NA anamorphic systems helps to better understand the benefits, challenges and optimal insertion point for introducing High-NA EUV.
In 5nm node, even minor process variation in extreme ultraviolet lithography (EUVL) can bring significant impact to the device performance. Except for the overlay and critical dimension uniformity (CDU), EUV specific effects, such as shadowing, three-dimensional mask effect (M3D), and stochastic effects, must also be understood in processing, modeling, and optical proximity correction (OPC). We simulate those variabilities using a calibrated model and compare it to what is observed on the wafer. The interconnect path of Metal1-Via1-Metal2 is studied by using a silicon-calibrated resistivity model to analyze the related overlap area and the electrical resistance. The approach allows us to quantify the impact of EUVL process by investigating the individual contribution of each patterning process variations.
The 20nm Metal1 layer, based on ARM standard cells, has a 2D design with minimum pitch of 64nm. This 2D design
requires a Litho-Etch-Litho-Etch (LELE) double patterning. The whole design is divided in 2 splits: Me1A and Me1B.
But solution of splitting conflicts needs stitching at some locations, what requires good Critical Dimension (CD) and
overlay control to provide reliable contact between 2 stitched line ends.
ASML Immersion NXT tools are aimed at 20 and 14nm logic production nodes. Focus control requirements become
tighter, as existing 20nm production logic layouts, based on ARM, have about 50-60nm focus latitude and tight CD
Uniformity (CDU) specifications, especially for line ends.
IMEC inspected 20nm production Metal1 ARM standard cells with a Negative Tone Development (NTD) process using
the Process Window Qualification-like technique experimentally and by Brion Tachyon LMC by simulations. Stronger
defects were found thru process variations. A calibrated Tachyon model proved a good overall predictability capability
for this process. Selected defects are likely to be transferred to hard mask during etch.
Further, CDU inspection was performed for these critical features. Hot spots showed worse CD uniformity than
specifications. Intra-field CDU contribution is significant in overall CDU budget, where reticle has major impact due to
high MEEF of hot spots. Tip-to-Tip and tip-to-line hot spots have high MEEF and its variation over the field. Best focus
variation range was determined by best focus offsets between hot spots and its variation within the field.
Kaidong Xu, Laurent Souriau, David Hellin, Janko Versluijs, Patrick Wong, Diziana Vangoidsenhoven, Nadia Vandenbroeck, Harold Dekkers, Xiaoping Shi, Johan Albert, Chi Lim Tan, Johan Vertommen, Bart Coenegrachts, Isabelle Orain, Yoshie Kimura, Vincent Wiaux, Werner Boullart
The approach for patterning 15-nm half-pitch (HP) structures using extreme ultraviolet lithography combined with self-aligned double patterning is discussed. A stack composed of a double hard mask, which allows decoupling photoresist transfer and trim, and an α-Si mandrel, which offers better mechanical properties during the mandrel and spacer patterning, is proposed. A break-down study with the patterning steps was performed to investigate the key contributors for improvement of linewidth roughness (LWR), line-edge roughness (LER), and critical dimension uniformity (CDU), targeting integrated solutions with lithography, etch, thin film deposition, and wet cleans for selected applications. Based on the optimization of these key patterning contributors, optimum LWR, LER, and CDU at 15 nm HP are demonstrated.
Spacer based SADP (Self-Aligned Double Patterning) is used increasingly in IC manufacturing as design rules outstrip the resolution capabilities of traditional single exposure lithography processes. In this paper, a 15nm half pitch SADP process based upon an EUV single exposure produced mandrel is modeled using commercial simulation software (PROLITH X4.2, KLA-Tencor corp.). Good accuracy is observed when the simulated results are compared to actual experimental results. Artifacts present in the final spacer pattern are clearly traceable to the resist imaging step.
K. Xu, L. Souriau, D. Hellin, J. Versluijs, P. Wong, D. Vangoidsenhoven, N. Vandenbroeck, H. Dekkers, X. Shi, J. Albert, C. Tan, J. Vertommen, B. Coenegrachts, I. Orain, Y. Kimura, V. Wiaux, W. Boullart
This paper discusses the approach for patterning 15nm Half Pitch (HP) structures using EUV lithography combined with Self-Aligned Double Patterning (SADP). A stack composed of a double hard mask, which allows decoupling photoresist transfer and trim, and an α-Si mandrel, which offers better mechanical properties during the mandrel and spacer patterning, is proposed. A break-down study with the patterning steps was performed to investigate the key contributors for improvement of LWR, LER and CDU, targeting integrated solutions with lithography, etch, thin film deposition, and wet cleans for selected applications. Based on the optimization of these key patterning contributors, optimum LWR, LER and CDU at 15nm HP are demonstrated.
Double Patterning (DP) is the most immediate lithography candidate for IC technologies requiring pitches below the
single exposure capabilities of today's ArF immersion scanners. Litho-Process-Litho-Etch (LPLE) double patterning
(DP) processes potentially offer substantial cost and throughput benefits over the more proven Litho-Etch-Litho-Etch
(LELE) approaches. However, LPLE DP approaches typically use a different resist for each lithography step and there
are many potential process and material interactions between the lithographic layers which could have an impact on
proximity effects after full DP flow.
In this work the impact of process and material interactions on proximity effects is investigated for a metal 1 double
trench LELE process and a poly double line LPLE process. The process windows for several pitches and proximity
behaviour of both pattern 1 and pattern 2 is studied. Results obtained from a single patterned wafer are compared with
results from a single patterned and double patterned area on a double patterned wafer.
The results reveal that for the LPLE case there are process window and proximity differences between single and double
patterned wafers showing the influence of a neighbouring line from another patterning step. The process window
differences do not just consist of a simple shift along the dose axis.
For a few specific cases the experimental results are compared to calibrated LPL Prolith model predictions. The Prolith
simulation model matches the experimental data and helps to distinguish between chemical, optical and processing
effects as the root cause of the observed differences.
The demand for ever shrinking semiconductor devices is driving efforts to reduce pattern dimensions in semiconductor
lithography. In this work, the aim is to find a single patterning litho solution for a 28nm technology node using 193nm
immersion lithography. Target poly pitch is 110nm and metal1 pitch is 90nm. For this, we have introduced a range of
different techniques to reach this goal. At this node, it becomes essential to include the layout itself into the optimization
process. This leads to the introduction of restricted design rules, together with the co-optimization of source and mask
(SMO) and the use of customized illumination modes (freeform illumination sources; FlexrayTM). Also, negative tone
development (NTD) is employed to further extend the applicability of 193nm immersion lithography. Traditionally, the
printing of contacts and trenches is done by using a dark field mask in combination with a positive tone resist and
positive tone development. The use of negative tone development enables images reversal. This allows benefiting from
the improved imaging performance when exposing with bright field masks. The same features can be printed in positive
tone resists and with improved process latitudes.
At the same time intermediate metal (IM) layers are used to connect the front-end and back-end-of-line, resulting in huge
area benefits compared to layouts without these IM layers. The use of these IM layers will not happen for the 28nm
node, but is intended to be introduced towards the 20nm node, and beyond. Nevertheless, the choice was made to use this
architecture to obtain a first learning cycle on this approach.
In this study, the use of negative tone development is explored, and its use for the various dark field critical layers in a
28nm node process is successfully demonstrated. In order to obtain sufficiently large process windows, structures are
printed larger than the designed target CD. As a consequence, a shrink of the structures needs to be applied to obtain the
target CD after etch. Different shrink approaches are compared. Final results on wafer are discussed, focusing on critical
layers as IM1, IM2, Via0 and Metal1.
In previous work, a rigorous physical model was developed to describe a thermal freeze LPLE (Litho-Process-
Litho- Etch) process. Subsequent experimental studies revealed a significant CD correlation between the CD of the litho
2 pattern and that of the litho 1 pattern, when the features are inter-digitated. Simulation of the experiment shows similar
behavior, although the predicted magnitude is incorrect. Experimentation with the model reveals that the behavior is
driven by three mechanisms; the mis-match of the index of refraction between the two resist, the acid/quencher diffusion
boundary between the resist materials and finally optical lensing effects caused by the non-planar surface of the second
resist as it covers the features defined in the first resist. Once the mechanisms are identified the model is recalibrated
with significantly improved accuracy.
In this work, a physical model is constructed to describe a thermal cure double patterning photoresist process.
The basic lithographic response of each photoresist can be accurately described using the conventional chemically
amplified resist modeling approach. Experimental data reveals that although the thermal cure process removes all
detectable photosensitivity from the imaged first resist, it does increase the materials solubility in the second resist
development process. It is theorized that this solubility change results from thermal de-protection of the resist polymer
during the cure. Introduction of a first-order thermal de-protection process to the model, results in simulations that match
the experimental data. Measurement of actinic optical properties show that the first resist remains optically stable during
its processing (in the regions remaining on the wafer after development) but that the BARC material undergoes
significant optical changes in open areas where the first resist has been removed. The calibrated process model is tested
against experimental data generated under other optical conditions; good quantitative and qualitative agreement is
observed and in one case the simulation results suggest a plausible mechanism for observed process failure.
In many ways, sidewall spacer double patterning has created a new paradigm for lithographic roadmaps. Instead of
using lithography as the principal process for generating device features, the role of lithography becomes to generate a
mandrel (a pre-pattern) off-of-which one will subsequently replicate patterns with various degrees of density
multiplication. Under this new paradigm, the innovativeness of various density multiplication techniques is as critical to
the scaling roadmap as the exposure tools themselves.
Sidewall spacer double patterning was the first incarnation of mandrel based patterning; adopted quickly in NAND flash
where layouts were simple and design space was focused. But today, the use of advanced automated decomposition
tools are showing spacer based patterning solutions for very complex logic designs. Future incarnations can involve the
use of laminated spacers to create quadruple patterning or by retaining the original mandrel as a method to obtain triple
patterning. Directed self-assembly is yet another emerging embodiment of mandrel based patterning, where selfseparating
polymers are registered and guided by the physical constraint of a mandrel or by chemical pre-pattern trails
formed onto the substrate.
In this summary of several bodies of work, we will review several wafer level demonstrations, all of which use various
forms of mandrel or stencil based density multiplication including sidewall spacer based double, triple and quadruple
patterning techniques for lines, SADP for via multiplication, and some directed self-assembly results all capable of
addressing 15nm technology node requirements and below. To address concerns surrounding spacer double patterning
design restrictions, we show collaboration results with an EDA partner to demonstrate SADP capability for BEOL
routing layers. To show the ultimate realization of SADP, we partner with IMEC on multiple demonstrations of
EUV+SADP.
The spacer defined double patterning (SDDP) approach for 20nm half pitch (HP) single damascene Cu interconnect
structures using immersion lithography is being reviewed. Final results on wafer will be shown, focusing on critical
double patterning topics such as CD & overlay budget and line edge roughness (LER); and their impact on the electrical
functioning of the back-end-of-line test structures. The feasibility of extending the SDDP technique down to 15nm HP
structures is also discussed. The 30nm line/space structures patterned in resist, required as a starting point for this
exercise, will be patterned using EUV lithography.
A strong demand exists for techniques that can further extend the application of ArF immersion lithography. Besides
techniques like litho-friendly design, dual exposure or patterning schemes, customized illumination modes, also
alternative processing schemes are viable candidates to reach this goal. One of the most promising alternative process
flows uses image reversal by means of a negative tone development (NTD) step with a FUJIFILM solvent-based
developer. Traditionally, the printing of contacts and trenches is done by using a dark field mask in combination with
positive tone resist and positive tone development. With NTD, the same features can be printed in positive resist using a
light field mask, and consequently with a much better image contrast.
In this paper, we present an overview of applications for the NTD technique, both for trench and contact patterning,
comparing the NTD performance to that of the traditional positive tone development (PTD). This experimental work was
performed on an ASML Twinscan XT:1900i scanner at 1.35 NA, and targets the contact/metal layers of the 32 & 22 nm
node. For contact hole printing, we consider both single and dual exposure schemes for regular arrays and 2D patterns.
For trench printing, we compare the NTD and PTD performance for one-dimensional patterns, line ends and twodimensional
structures. We also assess the etch capability and CDU performance of the NTD process.
This experimental study proves the added value of the NTD scheme. For contacts and trenches, it allows achieving a
broader pitch range and/or smaller litho targets, which makes this process flow attractive for the most advanced
lithography applications, including double patterning.
Over the last couple of years a lot of attention has gone to the development of new Litho-Process-Litho-Etch (LPLE)
double patterning process alternatives to Litho-Etch-Litho-Etch (LELE) or Spacer-Defined Double Patterning
(SDDP)[3,5,6]. Much progress has been made on the material side to improve the resolution of these processes and
imaging down to 26nm and even 22 nm 1:1 Lines/Spaces has been demonstrated[1,2,13]. This shows that from a resolution
point of view these processes can bridge the gap between ArF immersion single patterning and EUV lithography. These
results at small pitches are typically obtained using dipole illumination making them only useful for one pitch-one
orientation. Applying the combination of double patterning and dipole illumination is thus limited to regular line/space
gratings. For this paper, the patterning of more random 2D and through pitch designs is investigated using the double
patterning LPL alternatives for the POLY layer in combination with annular illumination. Fundamental behaviors of the
freezing schemes that affect the patterning performance for logic applications are discussed.
In this paper we study interactions of double patterning technology (DPT) with lithography, optical proximity correction (OPC) and physical design flows for the 22-nm device node. DPT methods decompose the original design intent into two individual masking layers, which are each patterned using single exposures and existing 193-nm lithography tools. Double exposure and etch patterning steps create complexity for both process and design flows. DPT decomposition is a critical software step that will be performed in physical design and also in mask synthesis. Decomposition includes cutting (splitting) of original design intent polygons into multiple polygons, where required, and coloring of the resulting polygons. We evaluate the ability to meet key physical design goals, such as reduce circuit area, minimize relayout effort, ensure DPT compliance, guarantee patterning robustness on individual layer targets, ensure symmetric wafer results, and create uniform wafer density for the individual patterning layers.
Double-patterning lithography appears a likely candidate to bridge the gap between water-based immersion lithography and EUV. A double-patterning process is discussed for 30-nm half-pitch interconnect structures, using 1.2 numerical aperture immersion lithography combined with the MotifTM critical dimension (CD) shrink technique. An adjusted optical proximity correction (OPC) calculation is required to model the proximity effects of the Motif shrink technique and subsequent metal hard mask (MHM) etch, on top of the lithography-based proximity effects. The litho-etch-litho-etch approach is selected to pattern a TiN metal hard mask. This mask is then used to etch the low-k dielectric. The various process steps and challenges encountered are discussed, with the feasibility of this approach demonstrated by successfully transferring a 30-nm half-pitch pattern into the MHM.
Double Patterning allows to further extend the use of water immersion lithography at its maximum numerical aperture NA=1.35. Splitting of design layers to recombine through Double Patterning (DP) enables an effective resolution enhancement. Single polygons may need to be split up (cut) depending on the pattern density and its 2D content. The split polygons recombine at the so-called 'stitching points'. These stitching points may affect the yield due to the sensitivity to process variations. We describe a methodology to ensure a robust double patterning by identifying proper split- and design- guidelines. Using simulations and experimental data, we discuss in particular metal1 first interconnect layers of random LOGIC and DRAM applications at 45nm half-pitch (hp) and 32nm hp where DP may become the only timely patterning solution.
Double patterning (DP) technology is one of the main candidates for RET of critical layers at 32nm hp. DP technology is
a strong RET technique that must be considered throughout the IC design and post tapeout flows. We present a complete
DP technology strategy including a DRC/DFM component, physical synthesis support and mask synthesis.
In particular, the methodology contains:
- A DRC-like layout DP compliance and design verification functions;
- A parameterization scheme that codifies manufacturing knowledge and capability;
- Judicious use of physical effect simulation to improve double-patterning quality;
- An efficient, high capacity mask synthesis function for post-tapeout processing;
- A verification function to determine the correctness and qualify of a DP solution;
Double patterning technology requires decomposition of the design to relax the pitch and effectively allows processing
with k1 factors smaller than the theoretical Rayleigh limit of 0.25. The traditional DP processes Litho-Etch-Litho- Etch
(LELE) [1] requires an additional develop and etch step, which eliminates the resolution degradation which occurs in
multiple exposure processed in the same resist layer. The theoretical k1 for a double-patterning technology applied to a
32nm half-pitch design using a 1.35NA 193nm imaging system is 0.44, whereas the k1 for a single-patterning of this
same design would be 0.22 [2], which is sub-resolution.
This paper demonstrates the methods developed at Mentor Graphics for double patterning design compliance and
decomposition in an effort to minimize the impact of mask-to-mask registration and process variance. It also
demonstrates verification solution implementation in the chip design flow and post-tapeout flow.
For keeping pace with Moore's Law of reducing the feature sizes on integrated circuits, the driving forces have been
reductions in the exposure-tool wavelength, and increases in the lens numerical aperture (NA). With extreme ultra-violet
(EUV) lithography and 3rd-generation immersion delayed for production use, these driving forces are now stalled at a
wavelength of 193 nm and an NA of 1.35. Therefore, double-patterning technology (DPT) is needed for printing 22 nm
device node features. With DPT, a 22 nm layout is split into two patterns. Each pattern is printed using 32 nm node
lithography technology, and the original pattern is recovered by a logical summation (the Boolean OR operation) of
these two separately exposed patterns. DPT presents several challenges for printability verification. First, the etch target
can be very different from the resist target because significant biasing is used to improve the lithography process
window. Second, overlaps between the two patterns produce new problems such as sharp-cornered pinching at pattern
junctions, and bridging between patterns. Finally, there are additional process variations: misalignment between the two
patterns, and twice as many dose and defocus dimensions. We present results from a full-chip DPT-verification tool that
addresses these challenges. We also provide examples of lithography problems that are specific to DPT, and describe
possible guidance for the resolution enhancement techniques (RET) and design tools.
Double patterning lithography appears a likely candidate to bridge the gap between water-based immersion lithography
and EUV. A double patterning process is discussed for 30nm half-pitch interconnect structures, using 1.2 NA immersion
lithography combined with the MotifTM CD shrink technique. An adjusted OPC calculation is required to model the
proximity effects of the Motif shrink technique and subsequent metal hard mask (MHM) etch, on top of the lithography
based proximity effects. The litho-etch-litho-etch approach is selected to pattern a TiN metal hard mask. This mask is
then used to etch the low-k dielectric. The various process steps and challenges encountered are discussed, with the
feasibility of this approach demonstrated by successfully transferring a 30nm half-pitch pattern into the MHM.
Double Patterning is investigated at IMEC as a timely solution to meet the 32nm node requirements. It further extends
the use of water immersion lithography at its maximum numerical aperture NA=1.35. The aim of DP is to make dense
features possible by splitting a design into two more sparse designs and by recombining into the target pattern through a
double patterning flow (stitching). Independently of the implementation by the EDA vendors and designers, we discuss
some guidelines for split and for DP-compliant design to ensure a robust stitching through process variations. We focus
more specifically on the first metal interconnect patterning layer (metal1) for random logic applications. We use both
simulations and experiments to study the patterning of 2D split test patterns varied in a systematic way.
Double patterning technology (DPT) is one of the main options for printing logic devices with half-pitch
less than 45nm; and flash and DRAM memory devices with half-pitch less than 40nm. DPT methods
decompose the original design intent into two individual masking layers which are each patterned using
single exposures and existing 193nm lithography tools. The results of the individual patterning layers
combine to re-create the design intent pattern on the wafer. In this paper we study interactions of DPT with
lithography, masks synthesis and physical design flows. Double exposure and etch patterning steps create
complexity for both process and design flows. DPT decomposition is a critical software step which will be
performed in physical design and also in mask synthesis. Decomposition includes cutting (splitting) of
original design intent polygons into multiple polygons where required; and coloring of the resulting
polygons. We evaluate the ability to meet key physical design goals such as: reduce circuit area; minimize
rework; ensure DPT compliance; guarantee patterning robustness on individual layer targets; ensure
symmetric wafer results; and create uniform wafer density for the individual patterning layers.
Delays in equipment availability for both Extreme UV and High index immersion have led to a growing
interest in double patterning as a suitable solution for the 22nm logic node. Double patterning involves
decomposing a layout into two masking layers that are printed and etched separately so as to provide the
intrinsic manufacturability of a previous lithography node with the pitch reduction of a more aggressive
node. Most 2D designs cannot be blindly shrunk to run automatically on a double patterning process and so
a set of guidelines for how to layout for this type of flow is needed by designers. While certain classes of
layout can be clearly identified and avoided based on short range interactions, compliance issues can also
extend over large areas of the design and are hard to recognize. This means certain design practices should
be implemented to provide suitable breaks or performed with layout tools that are double patterning
compliance aware. The most striking set of compliance errors result in layout on one of the masks that is at
the minimum design space rather than the relaxed space intended. Another equally important class of
compliance errors is that related to marginal printability, be it poor wafer overlap and/or poor process
window (depth of focus, dose latitude, MEEF, overlay). When decomposing a layout the tool is often
presented with multiple options for where to cut the design thereby defining an area of overlap between the
different printed layers. While these overlap areas can have markedly different topologies (for instance the
overlap may occur on a straight edge or at a right angled corner), quantifying the quality of a given overlap
ensures that more robust decomposition solutions can be chosen over less robust solutions. Layouts which
cannot be decomposed or which can only be decomposed with poor manufacturability need to be
highlighted to the designer, ideally with indications on how best to resolve this issue. This paper uses an
internally developed automated double pattern decomposition tool to investigate design compliance and
describes a number of classes of non-conforming layout. Tool results then provide help to the designer to
achieve robust design compliant layout.
A double patterning (DP) process is discussed for 50nm half pitch interconnects, using a litho-etch-litho-etch approach
on metal hard mask (MHM). Since an 0.85NA immersion scanner is used, the small pitch of 100nm is obtained by DP,
the small trenches are made by a Quasar exposure followed by a shrink technique. The RELACS® process is used,
realizing narrow trenches with larger DOF and less LER. For mask making, a design split is carried out, followed by
adjustments of the basic design to make the patterns more litho-friendly. Assist features are placed next to isolated
trenches to ensure sufficient DOF. Furthermore, an adjusted OPC calculation is carried out, taking into account
proximity effects of both the exposure and the subsequent shrink process. After mask fabrication, this DP process is
used for a single damascene application, with BDIIx as low-k material and TaN or TiN as MHM. Various problems are
encountered, such as CD gain of the trenches during both MHM etch steps, poisoning and BARC thickness variations
due to topography during the second litho step. For all these problems, solutions or work-arounds have been found,
After the second MHM-etch, the 50nm half-pitch pattern is transferred successfully in the underlying low-k material.
Single exposure capable systems for the 32nm 1/2 pitch (HP) node may not be ready in time for production. At the
possible NA of 1.35 still using water immersion lithography, one option to generate the required dense pitches is double
patterning. Here a design is printed with two separate exposures and etch steps to increase the pitch. If a 2x increase in
pitch can be achieved through the design split, double patterning could thus theoretically allow using exposure systems
conceived for the 65nm node to print 32nm node designs.
In this paper we focus on the aspect of design splitting and lithography for double patterning the poly layer of 32nm
logic cells using the Synopsys full-chip physical verification and OPC conversion platforms. All 32nm node cells have
been split in an automated fashion to target different aggressiveness towards pitch reduction and polygon cutting. Every
design split has gone through lithography optimization, Optical Proximity Correction (OPC) and Lithography Rule
Checking (LRC) at NA values of 0.93, 1.20, and 1.35. Final comparisons are based on simulations across the process
window. In addition, we have experimentally verified selected single-patterning problem areas on a 1.20 NA exposure
tool (ASML XT:1700Fi at IMEC). With this information, we establish guidelines for double patterning conversions
and present a new design rule for double patterning compliance checking applicable to full-chip scale.
The fate of optical-based lithography hinges on the ability to deploy viable resolution enhancement techniques (RET).
One such solution is double patterning (DP). Like the double-exposure technique, double patterning is a decomposition
of the design to relax the pitch that requires dual masks, but unlike double-exposure techniques, double patterning
requires an additional develop and etch step, which eliminates the resolution degradation due to the cross-coupling that
occurs in the latent images of multiple exposures. This additional etch step is worth the effort for those looking for an
optical extension [1]. The theoretical k1 for a double-patterning technique of a 32nm half-pitch (HP) design for a
1.35NA 193nm imaging system is 0.44 whereas the k1 for a single-exposure technique of this same design would be 0.22
[2], which is sub-resolution. There are other benefits to the DP technique such as the ability to add sub-resolution assist
features (SRAF) in the relaxed pitch areas, the reduction of forbidden pitches, and the ability to apply mask biases and
OPC without encountering mask constraints.
Similarly to AltPSM and SRAF techniques one of the major barriers to widespread deployment of double patterning to
random logic circuits is design compliance with split layout synthesis requirements [3]. Successful implementation of
DP requires the evolution and adoption of design restrictions by specifically tailored design rules.
The deployment of double patterning does spawn a couple of issues that would need addressing before proceeding into a
production environment. As with any dual-mask RET application, there are the classical overlay requirements between
the two exposure steps and there are the complexities of decomposing the designs to minimize the stitching but to
maximize the depth of focus (DoF). In addition, the location of the design stitching would require careful consideration.
For example, a stitch in a field region or wider lines is preferred over a transistor region or narrower lines. The EDA
industry will be consulted for these sound automated solutions to resolve double-patterning sensitivities and to go
beyond this with the coupling of their model-based and process-window applications.
This work documented the resolution limitations of single exposure, and double-patterning with the latest hyper-NA
immersion tools and with fully optimized source conditions. It demonstrated the best known methods to improve design
decomposition in an effort to minimize the impact of mask-to-mask registration and process variance. These EDA
solutions were further analyzed and quantified utilizing a verification flow.
Double patterning technology (DPT) is a promising technique that bridges the anticipated technology gap from the use of 193nm immersion to EUV for the half-pitch device node beyond 45nm. The intended mask pattern is formed by two independent patterning steps. Using DPT, there is no optical imaging correlation between the two separate patterning steps except for the impact from mask overlay. In each of the single exposure step, we can relax the dense design pattern pitches by decomposing them into two half-dense ones. This allows a higher k1 imaging factor for each patterning step. With combined patterns, we can achieve overall k1 factor that exceeds the conventional Rayleigh resolution limit.
This paper addresses DPT application challenges with respect to both mask error factor (MEF) and 2D patterning. In our simulations using DPT with relaxed feature pitch for each exposure step, the MEF for the line/space is fairly manageable for 32nm half-pitch and below. The real challenge for the 32nm half-pitch and below with DPT is how to deal with the printing of small 2D features resulting from the many cutting sites due to feature decomposition. Each split of a dense pattern generates two difficult-to-print line-end type features with dimension less than one-fifth or one-sixth of ArF wavelength. Worse, the proximity environment of the 2D cut features can then become quite complex. How to stitch them correctly back to the original target requires careful attention. Applying target bias can improve the printing performance in general. But using a model-based stitching error correction method seems to be a preferred solution.
Nanophotonics promise a dramatic scale reduction compared to contemporary photonic components. This allows the integration of many functions onto a chip. Silicon-on-insulator (SOI) is an ideal material for nanophotonics. It consists of a thin layer of silicon on top of an oxide buffer. In combination with high-resolution lithography, one can define a high refractive index contrast both in horizontally and vertically, resulting in a tight confinement of light. Moreover, SOI can be processed with industrial tools now used for silicon microelectronics. There are two candidates for nanophotonic waveguides. Photonic wires are basically conventional waveguides with reduced dimensions and a high refractive index contrast. These waveguides with submicron dimensions can have bend radii of only a few micrometres. The alternative is to use photonic crystals, which confine light by the photonic band gap effect. Introducing defects in a photonic crystal creates waveguides and other functional components. To make nanophotonics commercially viably, mass-manufacturing technology is needed. While e-beam lithography delivers the required accuracy for nanophotonic structures, it is too slow. We have used deep-UV lithography, used for advanced CMOS fabrication, to make nanophotonic waveguides. The fabrication quality is very good, which translates to low propagation losses. E.g. a 500nm (single-mode) photonic wire has a propagation loss of only 0.24dB/mm. Using these low-loss waveguides, we have implemented a variety of nanophotonic components, including ring resonators and arrayed waveguide gratings.
We have proposed a new resolution enhancement technology using attenuated mask with phase shifting aperture, named "Mask Enhancer", for random-logic contact hole pattern printing. In this study, we apply a new mask blank on Mask Enhancer in order to prevent the light intensity loss caused by the mask topography effect. We also perform to expose the new Mask Enhancer on the first ArF immersion scanner, ASML AT1150i. We demonstrate that the new Mask Enhancer can achieve 45nm-node contact hole printing with sufficient lithographic performance with combination of immersion lithography.
A target of the 45nm node development at IMEC is to produce a working 6-transistor SRAM (6-T SRAM) cell. Here we describe the lithographic solutions for this challenge.
Following the requirements of the ITRS Roadmap requires challenging k1 values. A classical 6-transistor SRAM design is difficult to scale to lower k1 values for imaging and overlay reasons. In this paper we discuss the litho friendly design that was used to originally produce a working 0.314μm2 45nm node 6-transistor SRAM cell. The design was scaled to a k1 value of 0.31 for printing the active area layer on a 0.75NA ArF scanner at IMEC. Later on this was further scaled to a k1 of 0.280 and a cell size of 0.274μm2 for a working cell and imaging with a k1 of 0.265 on a higher NA tool.
Various resolution enhancement techniques have been used for the three most critical layers of the SRAM cell: active area, poly gates and contact holes.
Although designed unidirectional, the active area and the poly layer of the SRAM cell have critical features in two directions and therefore choosing the right illuminator shape is not straightforward. A pupil shape optimizer was used to maximize the contrast of the aerial image of the various critical features in these layers.
For the contact layer the minimal pitch in the design is 160nm, which corresponds to a k1 of 0.31. The pattern was split up into two images to increase the minimum pitch for the imaging to 190nm. Since off-axis illumination is used to print the 190nm pitch, assist features are added to the more sparse features. Contacts are not placed on a regular rectangular grid and additionally non-square contacts are used for local interconnects. This complicates the placement of the assist features and the interference mapping lithography (IML) technology was used to help in this task. The split design has been used in a double patterning approach in the SRAM process flow.
In this paper we show that all the above-mentioned resolution enhancement techniques have been successfully integrated and that it resulted in a working 45nm node SRAM cell.
We fabricated single-mode photonic wires, nanophotonic waveguides confining light by total internal reflection. The structures are defined in silicon-on-insulator using 248nm deep UV lithography, a widely adopted technology for CMOS applications. The crystalline silicon core has a thickness of 220nm and a width of up to 600nm. A 1um thick silica layer serves as the lower cladding. We measured the loss of straight waveguides using the Fabry-Perot interference spectrum of the cleaved samples. A 500nm wide waveguide has a loss as low as 2.4dB/cm at 1550nm wavelength. We measured 90 degree bends to have excess losses of about 1dB. Mirror bends perform comparably. We fabricated symmetrically coupled ring and "racetrack" resonators with small radius. Q-factors higher than 3000 are achieved, leading to low add-drop crosstalk, high finesse and low at-resonance insertion loss. By fitting the theoretical model to the experimental results, we extracted parameters such as the coupling ratio, cavity loss and group index. We analyzed the fabrication tolerances allowed for these resonators to be suitable as a building block for WDM filtering components. The allowed deviation on the waveguide widths and gaps for the coupling ratio to be within specification are within the possibilities of the fabrication method. However, a method to tightly control the optical cavity length is needed as the ring's group index is highly dependent on waveguide width.
Chromeless-Phase Lithography (CPL) combined with IML (Interference Mapping Lithography) technology is experimentally demonstrated as a viable resolution enhancement technique (RET) to pattern low-k1 (0.39) contact holes (CHs) from dense through sparse pitches. Both the process latitude and the MEEF values are measured. The most promising single exposure techniques combine off-axis-illumination (OAI) with the use of non-printing assist features, as in the case of CPL with IML. Contrary to other RETs, CPL does not use sub-resolution assist features but non-printing assist slots with a well-chosen phase (180° or 0°) and transmission (0% or 100%) assignment. The optimization and the positioning of assist features result of IML, based on a mapping of the field intensity at the wafer level: the assist features interfere to enhance the image at the contact hole location. The experimental layout optimization is discussed, showing how the process is maximized together with the dose-margin before any side-lobe printing. Using ArF immersion lithography at 0.75 NA with Quasar 20° σout=0.92 / σin=0.72, the CPL printing performance of 100 nm contact holes, from 200 nm pitch through isolated, is measured. The Depth-Of-Focus at 8% Exposure Latitude (DOF @ 8% EL) remains above 0.4 μm through pitch, with 0.43 μm DOF @ 8% EL at the difficult 300 nm pitch. The MEEF becomes a multi-dimensional metric on CPL masks. The wafer CD uniformity depends not only on the size variation of the CH on the reticle, but also on the size variations at the two reticle write steps, i.e. the assist slots opening and the Cr removal. The MEEF metrics related to the CH and slot sizes appear as the most critical ones. For each of those parameters, measured MEEF is always below 3.
The minimum gate pitch for the 65 nm device node will push 193 nm lithography toward k1~0.35 with numerical aperture (NA)=0.85. Previous work has analyzed the challenges expected for this generation. However, in the simplest terms, optical lithography for the 65 nm node will be difficult. Lithographers are, therefore, looking into high-transmission attenuated phase shift masks (high-T attPSMs), where T>14%, to improve process margins. The benefits of a high-T attPSM are substantial, but drawbacks like difficulty in inspection, defect free blank manufacture, and sidelobe printing may make the use of such masks impractical. One possible solution to this problem is to employ medium transmission (med-T) attPSM, such as T = 9%, to image critical levels of the 65 nm node with 193 nm lithography. Earlier work has shown that the problems high-T attPSMs face are manageable for med-T attPSM. Sidelobe printing in particular will be treated in this work with simulation and experiment. A primary goal of this effort is to determine if the lithographic benefit of moving from industry-standard 6% attPSM to 9% attPSM is worth the risks associated with such a transition. This goal will be met through a direct comparison of experimental 0.75 NA 193 nm wavelength results for 6% versus 9% attPSM on the gate, contact/via, and metal layers at 65 nm generation target dimensions with leading edge resists.
The minimum gate pitch for the 65nm device node will push 193nm lithography toward k1 ~ 0.35 with NA = 0.85. Previous work has analyzed the challenges expected for this generation. However, in the simplest terms, optical lithography for the 65nm node will be difficult. Lithographers are, therefore, looking into high-transmission attenuated phase shift mask (high-T attPSM), where T > 14%, to improve process margins. The benefits of a high-t attPSM are substantial, but drawbacks like inspection difficulty, defect free blanks manufacture, and sidelobe printing may make the use of such masks impractical. One possible solution to this problem is to employ medium transmission (med-T) attPSM, such as T = 9%, to image critical levels of the 65nm node with 193nm lithography. Earlier work shows that the problems High-T attPSMs face are manageable for med-T attPSM. Sidelobe printing in particular will be treated in this work with simulation and experiment. A primary goal of this effort is to determine if the lithographic benefit of moving from industry-standard 6% attPSM to 9% attPSM is worth the risks associated with such a transition. This goal will be met through a direct comparison of experimental 0.75NA 193nm λ results for 6% versus 9% attPSM on gate, contact/via, and metal layers at 65nm generation target dimensions with leading edge resists. Additional information on the inspectability and reticle blank manufacture of % AttPSM will also be given to provide a cohesive analysis of the transition tradeoffs.
Nanophotonic ICs promise to play a major role in the future of opto-electronic signal processing and telecommunications. But for these devices, which consist of large numbers of wavelength-scale photonic components, to be successful, reliable and cost-effective mass-fabrication technology is needed. Photonic components, and among them photonic crystals, require a high degree of accuracy, which translates to low fabrication tolerances. Today, similar demands are made for high-end CMOS components, made of Silicon, for which a large manufacturing base is installed.
We demonstrate the fabrication of nanophotonic components, like photonic crystal waveguides and photonic wires, using state-of-the-art CMOS processing tools. The foremost of these is deep UV lithography at 248nm and 193nm, combined with dry-etch processes. To maintain compatibility with standard CMOS processes, we use Silicon-on-Insulator (SOI) as our material system. SOI is transparent at telecom wavelengths and provides a good substrate for high-index contrast optical waveguides. Moreover, recent studies have shown that nanophotonic components in SOI are less sensitive to surface roughness than similar components made in III-V semiconductor.
Although deep UV lithography cannot attain the resolution of e-beam lithography, this can be compensated with thorough process characterization, and the technique offers more speed because of its parallel nature. We will illustrate this with experimental results, and will also discuss some of the issues that have arisen in the course of this project.
The 65nm device generation will require steady improvements in lithography scanners, resists, reticles and OPC technology. 193nm high NA scanners and illumination can provide the desired dense feature resolution, but achieving the stringent overall 65nm logic product requirements necessitates a more coherent strategy of reticle, process, OPC, and design methods than was required for previous generations. This required integrated patterning solution strategy will have a fundamental impact on the relationship between design and process functions at the 65nm device node.
The requirements stated in the ITRS roadmap for back-end-of-line imaging of current and future technology nodes are very aggressive. Therefore, it is likely that high NA in combination with enhancement techniques will be necessary for the imaging of contacts and trenches, pushing optical lithography into the low-k1 regime. In this paper, we focus more specifically on imaging solutions for contact holes beyond the 90 nm node using high NA ArF lithography, as this is currently seen as one of the major challenges in optical lithography. We investigate the performance of various existing enhancement techniques in order to provide contact holes imaging solutions in a k1 range from 0.35 to 0.45, using the ASML PAS5500/1100 0.75NA ArF scanner installed at IMEC. For various resolution enhancement techniques (RET), the proof of concept has been demonstrated in literature. In this paper, we propose an experimental one-to-one comparison of these RET’s with fixed CD target, exposure tool, lithographic process, and metrology. A single exposure through pitch (dense through isolated) printing solution is preferred and is the largest challenge. The common approach using a 6% attenuated phase-shifted mask (attPSM) with a conventional illumination fails. The advantages and drawbacks of other techniques are discussed. High transmission (17%) attenuated phase shift, potentially beneficial for part of the pitch range, requires conflicting trade-offs when looking for a single exposure through pitch solution. More promising results are obtained combining a BIM or a 6% attPSM with assist slots and off-axis illumination, yielding a depth of focus (DOF) at 8% exposure latitude (EL) greater than 0.31 μm from 200 nm pitch through isolated. Chromeless phase lithography (CPL) is also discussed with promising results obtained at the densest pitch. At a 0.4 k1, an experimental extrapolation to 0.85NA demonstrates that a pitch of 180 nm can be resolved with 0.4 μm DOF at 8% EL. For all of these imaging solutions, various metrics are studied to compare printing performance. In addition to process latitude, we consider forbidden pitches, sidelobes printability, and mask error enhancement factor (MEEF).
Contact patterning for the 65nm device generation will be an exceedingly difficult task. The 2001 SIA roadmap lists the targeted contact size as 90nm with +/-10% CD control requirements of +/-9nm. Defectivity levels must also be below one failure per billion contacts for acceptable device yield. Difficulties in contact patterning are driven by the low depth of focus of isolated contacts and/or the high mask error (MEF) for dense contact arrays (in combination with expected reticle CD errors). Traditional contact lithography methods are not able to mitigate both these difficulties simultaneously. Inlaid metal trench patterning for the 65nm generation has similar lithographic difficulties though not to the extreme degree as seen with contacts. This study included the use of multiple, high transmission, 193nm attenuated phase shifting mask varieties to meet the difficult challenges of 65nm contact and trench lithography. Numerous illumination schemes, mask biasing, optical proximity correction (OPC), mask manufacturing techniques, and mask blank substrate materials were investigated. The analysis criteria included depth of focus, exposure latitude and MEF through pitch, reticle inspection, reticle manufacturability, and cost of ownership. The investigation determined that certain high transmission reticle schemes are strong contenders for 65nm generation contact and trench patterning. However, a number of strong interactions between illumination, OPC, and reticle manufacturing issues need to be considered.
Lithography at its limit of resolution is a highly non- linear pattern transfer process. Typically the shapes of printed features deviate considerably from their corresponding features in the layout. This deviation is known as Optical Proximity Effect, and its correction Optical Proximity effect Correction or OPC. Although many other so-called optical enhancement technologies are applied to cope with the issues of lithography at its limit of resolution, almost none of these can re-store the linearity of the pattern transfer. Hence fully functional OPC has become a very basic requirement for current and future lithography processes. In general, proximity effects are two-dimensional (2d) effects. Thus any measurement of proximity effects or any characterization of the effectiveness of OPC has to be two- dimensional. As OPC modifies shapes in the data for mask writing in a way to compensate for the expected proximity effects of the following processing steps, parameters describing the particular OPC-mask quality is a major concern. One-dimensional mask specifications, such as linewidth mean-to-target and uniformity, pattern placement, and maximum size of a tolerable defect, are not sufficient anymore to completely describe the functionality of a given mask for OPC. Two-dimensional mask specifications need to be evaluated. We present in this paper a basic concept for 2d metrology. Examples for 2d measurements to assess the effectiveness of OPC are given by the application of an SEM Image Analysis tool to an advanced 130nm process.
A complete evaluation of the optical proximity effects (OPE) and of their corrections (OPC) requires a quantitative description of two-dimensional (2D) parameters, both at resist- and at reticle-level. Because the 2D behaviour at line-ends and at line-corners can become a limiting factor for the yield, it should be taken into account when characterising a process, just as the CD- and pitch-linearity are already kept under control. This implies the measurement of 2D-metrics in a precise way. We used an SEM Image Analysis tool (ProDATA SIAM) to define and measure various OPC-relevant metrics for a C013 process.
For the METAL (M1) process, we show that the overlap between line-ends of M1-trenches and underlying nominal contacts is a relevant metric to describe the effectiveness of hammerheads. Moreover, it is an interesting metric to combine with the CD process window. For the GATE process, we demonstrate that for a given set of metrics there is a degree of OPC aggressiveness beyond which it is not worth to go. We considered both line-end shortening (LES) and corner rounding affecting the poly linewidth close to a contact pad, and this on various logic circuits having received different degrees of fragmentation. Finally the knowledge of the actual line-end contour on the reticle allows one to simulate separately the printing effect of that area loss at reticle line-ends. The area loss measured by comparing the extracted contour to the target one is regarded as a combination of pull-back and area loss at corners. For our C013 gate process, and for the 130nm lines at a 1:1.25 duty cycle, those two parameters contribute together to approximetely 40% of the measured LES in the resist. This fact raises the question of specifications on 2D reticle parameters. We also find a linear correlation between the area loss at reticle line-end corners and the corresponding increase of LES on the wafer, which suggests a way towards putting specifications on the reticle line-ends.
For some applications, the usefulness of lithography simulation results depends strongly on the matching between experimental conditions and the simulation input parameters. If this matching is optimized and other sources of error are minimized, then the lithography model can be used to explain printed wafer experimental results. Further, simulation can be useful in predicting the results or in choosing the correct set of experiments. In this paper, PROLITH and ProDATA AutoTune were used to systematically vary simulation input parameters to match measured results on printed wafers used in a 193 nm process. The validity of the simulation parameters was then checked using 3D simulation compared to 2D top-down SEM images. The quality of matching was evaluated using the 1D metrics of average gate CD and Line End Shortening (LES). To ensure the most accurate simulation, a new approach was taken to create a compound mask from GDSII contextual information surrounding an accurate SEM image of the reticle region of interest. Corrections were made to account for all metrology offsets.
An overview will be given of the increasing reticle quality needs, based on the 193nm lithography program ongoing at IMEC, with special focus on the 100nm node. When benchmarked against high NA 248nm, 193nm offers an advantage for the 130nm node, as less aggressive resolution enhancements are required. For decreasing k1-factor, there is also a need to cope with an increasing mask error factor. The CD uniformity needs to be tightened. Likely, it is required to keep proximity effects and linearity issues on reticles under control. Extending from linewidth control to pattern fidelity, new metrology concepts are being suggested, which will allow to come-up with a quantitative result. Especially for the implementation of aggressive OPC there is a need to consider the mask quality in many more aspects then just those typically taken into account so far. This will allow an assessment of the printing performance of real reticles, taking limitations of the achieved pattern fidelity caused by the mask making process into account.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.