The standard tantalum mask gives strong 3D electromagnetic effects, hence, its utilization in foundries to enable further downscaling to the A14 node might reach its limits even with the help of high NA EUV scanners (with 0.55 numerical aperture “NA”). The use of alternative mask absorber materials together with inverse lithography techniques (ILT), such as source mask optimization (SMO), can improve printing of metal logic layers with a target pitch of 20 and 24nm. This is possible due to thinner mask absorber thickness (around 40nm instead of 60nm for Ta-based mask) and due to different EUV optical properties of the mask material causing a different behavior of the light that is reflected by the masks. To better mitigate the light behavior during and after reflection from the mask, materials covering a good portion of the n-k graph (EUV refractive index, n, by EUV extinction coefficient, k) were chosen. The study proposes a comparison between baseline (Ta-based mask) and five new mask absorber candidates, ranging from three materials with lower refractive index and varied extinction coefficient (“low-n” with low-, mid-, and high-k), and two candidates with higher extinction coefficients (“highk” with mid- and high-n). This paper contains simulation results with the Siemens EDA Calibre tool and demonstrates theoretical proof that alternative mask materials bring significant gain when compared to the tantalum-based mask absorber. Firstly, we optimized the source and aerial image intensity threshold on a set of predefined clips (with SMO techniques). Secondly, we applied ILT techniques to correct for the full chip mask based on a horizontal layout of a metal logic layer on imec’s roadmap. We then compare the tantalum-based mask with the alternative masks using imaging criteria, such as DoF (depth of focus), NILS (Normalized Image log slope), EPE (edge placement error), pattern shifts through focus, process variation band, source telecentricity errors, and MEEF (mask error enhancement factor) on a variety of features in the metal logic clip to maximize the overall process window.
Among several critical layers of DRAM (dynamic random-access memory), capacitor holes of honeycomb arrays and bit-line-periphery (BLP) with Storage Node Landing Pad (SNLP) are the most critical layers in terms of patterning difficulty level. The honeycomb array hole layer has the highest density among various hole array types, and it is a complex lithography step since this layer is key in determining the performance of the DRAM. BLP with SNLP includes hole type and bi-directional line/space (L/S) design, and industry is considering a single exposure solution, compared to a three-mask solution using ArF immersion [1]. This BLP layer of 10nm DRAM has 2 different types of pattern topologies, hole array and bi-direction line/space: it is a very challenging single exposure level. In this paper, we discuss patterning challenges that come as consequences of industry trends in DRAM cell size reduction [2,3]. To keep up with this trend and to propose a single mask solution for bit-line-periphery, storage node landing pads and aggressive cell array pitches are considered along with resolution enhancement techniques (RET) for high-NA anamorphic EUV (NA=0.55) lithography. This study uses computational lithography such as source mask optimization (SMO) to find optimal off-axis illumination and optimal placement of sub-resolution assist features (SRAF) on the mask whilst considering the manufacturing rules checks (MRC constraints) for anamorphic EUV masks. In order to achieve that, a screening Design Technology Co-optimization (DTCO) experiment is done. The purpose is to identify cell array pitches in between 24nm and 32nm which satisfy both scaling requirements and patterning fidelity, preferred orientation of layout, and mask biasing scheme for various cell arrays. Lithography metrics like common depth of focus (cDoF), exposure latitude (EL), image contrast, and image log slope (ILS) are used to decide what is optimal way to expose on wafer. For the sake of completeness of the study, mask materials are compared. Indeed, in EUV domain there is interest to use alternative mask absorbers like Ruthenium alloys as an alternative to Tantalum-based absorbers [4,5,6].
This paper presents a feasibility study on patterning the critical layers of Bit-Line Periphery (BLP) and Storage Node Landing Pad (SNLP) for advanced 10nm node DRAM with sub-40nm pitch using a single EUV patterning. Source Mask Optimization (SMO) and aerial image-based Optical Proximity Correction (OPC) were initially conducted to classify image data and identify potential weak points of the primary patterning mask. A secondary patterning mask was then produced based on the resist model and design split using the obtained data on the primary mask to address these issues. Results obtained through PV-band and intensity analysis of each area in simulation, as well as ADI and AEI (After Etch Inspection) using photoresists with 2 kinds of different tones (PTD CAR and Spin-on MOR PR), demonstrated the feasibility of patterning BLP and SNLP with a single EUV mask. Additionally, Process Window Discovery (PWD) wafers were fabricated to analyze and review process margins and potential weak points through KLA inspection for systematic patterning defectivity. Furthermore, our experiments confirmed that the performance of EUV patterning with DRAM BLP/SNLP layer can be expected to improve by reducing the dose (in mJ/cm2) by approximately 30% using a secondary mask by retarget bias split and resist model OPC.
As the industry continues to scale DRAM cell size, EUV lithography techniques have been considered in one or multiple steps. We have explored a single mask solution to pattern the bit-line-periphery (BLP) and the storage node landing pad (SNLP). Normally, for such varied types of structures as honeycomb arrays, SWD, S/A and Core, multiple masks are required. In this paper, we have explored a single EUV mask approach. First, a freeform EUV light source (in the source mask optimization, or SMO, process) was generated targeting a 36nm pitch honeycomb array and BLP structures. Then, curvilinear optical proximity correction (OPC) was applied to the target design (as shown in Figure 1) such that the performance meets qualified process window variation bands (PVBs) with proper curvilinear mask rule check (MRC). It is important to note that only an optical model was used for SMO and OPC without a resist model in this task. For the wafer process, we have used a dark field mask and metal oxide resist (MOR) photoresist and negative tone development (NTD). This was followed by transferring the pattern into a suitable hardmask for optical defect characterization using the KLA broadband plasma (BBP) 29xx tool as shown in Figure 2. Process window characterization was done to discover a unified defect-free window for both honeycomb array and BLP structures.
EUV lithography has enabled shrinking feature sizes up until iN7 using the current Ta-based mask absorber. As we explore next generation nodes, iN5 and beyond, the mask three dimensional (M3D) effects will have a significant impact at wafer level due to the mask architecture, and the oblique illumination angles [1-2]. In order to mitigate these effects, we explore the optical performance of two alternative mask absorber candidates; a High-k absorber and an attenuated phase shifting mask absorber (AttPSM) and compare them to the current Ta-based mask absorber. We evaluate and compare the mask absorbers for memory and logic layers by lithographic source-mask optimization (SMO) using Mentor’s pxSMO tool with ASML’s NXE3400B settings. For memory, contact-holes are simulated using dark-field mask whereas the pillars case is simulated with bright field mask to evaluate bright field as a mask option for EUV with alternative mask absorbers. For logic case, we test these absorbers on iN5 self-aligned block (SAB) layer [3]. The self-aligned block layer is also simulated by adding sub-resolution assist features (SRAFs) to predict the insertion point of SRAFs for logic designs and see if new mask absorber material can reduce the need of SRAF insertion. SMO for memory case shows higher common depth of focus (cDOF) and lower edge placement error (EPE) for High-k absorber over the conventional TaBN mask absorber, whereas significant gain in normalized image log slope (NILS) is observed for the AttPSM absorber. The logic case also has better performance in terms of common depth of focus (cDOF), NILS, EPE mask error enhancement factor (MEEF) and process variation band (PV-band). Adding SRAF’s to iN5 SAB improves the PV-band and image shift through focus for all three cases.
The current industry standard tantalum-based mask absorber (60 nm TaBN) gives strong 3D electromagnetic field (EMF) effects at wafer level, such as shadowing and pitch-dependent best focus shifts. A thinner mask absorber with higher EUV extinction coefficient or a phase shifting mask can mitigate 3D EMF effects [1]. The alternative mask absorber materials would enable further downscaling to foundry 5nm node using state-of-the-art EUV scanners (with 0.33 numerical aperture “NA”) and facilitate future high NA imaging using single exposure. Here we evaluate insertion options on the patterning roadmap for alternative EUV mask absorbers, including high-k absorbers and attenuated phase shifting masks (attPSM) [1-2]. All studies are using relevant designs from foundry N5 logic node. Two alternative mask candidates are compared with the standard TaBN mask. We bring theoretical proof of concept that alternative mask absorber materials generate significant imaging gain in terms of established success criteria. On a set of predefined types of clips (with variations of 1D/2D, horizontal/vertical, dense/isolated patterns), we seek for higher depth of focus (DoF), higher image log slope (ILS), high illumination efficiency (ideally it would be equal to 1), lower pattern shift through focus (i.e., lower tele-centricity errors), lower mask error enhancement factor (MEEF). Source mask optimization (SMO) on N5 logic clip shows a more balanced source and larger common process window for high-k absorber over Ta-based absorber. Using the optical proximity correction (OPC) engine with high-k mask absorber, shows significant gain on overlapping process window (PW), process variation (PV) band, and less line end shortening. Applying advanced Resolution Enhancement Techniques (RET), sub-resolution assist features (SRAFs) on N5 designs demonstrated an improved process in terms of common depth of focus (cDoF), and image shift through focus. It was also observed that the process not using SRAFs with the high-k absorber had superior process window and image shift compared to the Ta-based case with SRAFs. Therefore, adoption of such high-k absorbers could potentially postpone the need for SRAFs.
In advanced technological nodes, the photoresist absorbs light, which is reflected by underlying topography during optical lithography of implantation layers. Anti-reflective coating (ARC) helps to suppress the reflections, but ARC removal may damage transistors, not to mention its relatively high cost. Therefore ARC is usually not used, and topography modeling becomes obligatory for printing implantation shapes. Furthermore, presence of Fin Field Effect Transistors (FinFETs) makes modeling of non-uniform substrate reflections exceptionally challenging.
In realistic designs, the same implantation shape may be found in a vertical or in a rotated horizontal orientation. This creates two types of relationships between the critical dimension (CD) and FinFET, namely parallel to and perpendicular to the fins. The measurement data shows that CDs differ between these two orientations. This discrepancy is also revealed by our Rigorous Optical Topography simulator. Numerical experiments demonstrate that the shape orientation may introduce CD differences of up to 45 nm with a 248 nm illumination for 14 nm technology. These differences are highly dependent on the enclosure (distance between implantation shape and active area). One of the major causes of the differences is that in the parallel orientation the shape is facing solid sidewalls of fins, while the perpendicular oriented shape “sees” only perforated sidewalls of the fin structure, which reflect much less energy.
Meticulously stated numerical experiments helped us to thoroughly understand anisotropic behavior of CD measurement. This allowed us to more accurately account for FinFET-related topography effects in the compact implantation modeling for optical proximity corrections (OPC). This improvement is validated against wafer measurement data.
In a previous work, we demonstrated that the current optical proximity correction model assuming the mask pattern to be analogous to the designed data is no longer valid. An extreme case of line-end shortening shows a gap up to 10 nm difference (at mask level). For that reason, an accurate mask model has been calibrated for a 14-nm logic gate level. A model with a total RMS of 1.38 nm at mask level was obtained. Two-dimensional structures, such as line-end shortening and corner rounding, were well predicted using scanning electron microscopy pictures overlaid with simulated contours. The first part of this paper is dedicated to the implementation of our improved model in current flow. The improved model consists of a mask model capturing mask process and writing effects, and a standard optical and resist model addressing the litho exposure and development effects at wafer level. The second part will focus on results from the comparison of the two models, the new and the regular.
In a previous work [1] we demonstrated that current OPC model assuming the mask pattern to be analogous to the designed data is no longer valid. Indeed as depicted in figure 1, an extreme case of line-end shortening shows a gap up to 10 nm difference (at mask level). For that reason an accurate mask model, for a 14nm logic gate level has been calibrated. A model with a total RMS of 1.38nm at mask level was obtained. 2D structures such as line-end shortening and corner rounding were well predicted using SEM pictures overlaid with simulated contours. The first part of this paper is dedicated to the implementation of our improved model in current flow. The improved model consists of a mask model capturing mask process and writing effects and a standard optical and resist model addressing the litho exposure and development effects at wafer level. The second part will focus on results from the comparison of the two models, the new and the regular, as depicted in figure 2.
This study quantifies the impact of systematic mask errors on OPC model accuracy and proposes a methodology to reconcile the largest errors via calibration to the mask error signature in wafer data. First, we examine through simulation, the impact of uncertainties in the representation of photomask properties including CD bias, corner rounding, refractive index, thickness, and sidewall angle. The factors that are most critical to be accurately represented in the model are cataloged. CD bias values are based on state of the art mask manufacturing data while other variable values are speculated, highlighting the need for improved metrology and communication between mask and OPC model experts. It is shown that the wafer simulations are highly dependent upon the 1D/2D representation of the mask, in addition to the mask sidewall for 3D mask models. In addition, this paper demonstrates substantial accuracy improvements in the 3D mask model using physical perturbations of the input mask geometry when using Domain Decomposition Method (DDM) techniques. Results from four test cases demonstrate that small, direct modifications in the input mask stack slope and edge location can result in model calibration and verification accuracy benefit of up to 30%. We highlight the benefits of a more accurate description of the 3D EMF near field with crosstalk in model calibration and impact as a function of mask dimensions. The result is a useful technique to align DDM mask model accuracy with physical mask dimensions and scattering via model calibration.
KEYWORDS: Semiconducting wafers, Optical proximity correction, Data modeling, Silicon, Calibration, 3D modeling, Photomasks, Modulation, Scanning electron microscopy, Active optics
Ionic implantation photolithography step considered to be non critical started to be influenced by unwanted
overexposure by wafer topography with technology node downscaling evolution [1], [2]. Starting from 2xnm technology
nodes, implant patterns modulated on wafer by classical implant proximity effects are also influenced by wafer
topography which can cause drastic pattern degradation [2], [3]. This phenomenon is expected to be attenuated by the
use of anti-reflecting coating but it increases process complexity and involves cost and cycle time penalty. As a
consequence, computational lithography solutions are currently under development in order to correct wafer
topographical effects on mask [3]. For ionic implantation source Drain (SD) on Silicon bulk substrate, wafer topography
effects are the consequence of active silicon substrate, poly patterns, STI stack, and transitions between patterned wafer
stack.
In this paper, wafer topography aware OPC modeling flow taking into account stack effects for bulk technology
is presented. Quality check of this full chip stack aware OPC model is shown through comparison of mask computational
verification and known systematic defectivity on wafer. Also, the integration of topographical OPC model into OPC
flow for chip scale mask correction is presented with quality and run time penalty analysis.
KEYWORDS: Silicon, Semiconducting wafers, Oxides, Data modeling, Calibration, 3D modeling, Scanning electron microscopy, Photomasks, Process modeling, Optical lithography
Reflection by wafer topography and underlying layers during optical lithography can cause unwanted exposure in the resist [1]. This wafer stack effect phenomenon which is neglected for larger nodes than 45nm, is becoming problematic for 32nm technology node and below at the ionic implantation process. This phenomenon is expected to be attenuated by the use of anti-reflecting coating but increases process complexity and adds cost and cycle time penalty. As a consequence, an OPC based solution is today under evaluation to cope with stack effects involved in ionic implantation patterning [2] [3]. For the source drain (SD) ionic implantation process step on 28nm Fully Depleted Silicon-on-Insulator (FDSOI) technology, active silicon areas, poly silicon patterns, Shallow Trench Isolation (STI), Silicon-on-Insulator (SOI) areas and the transitions between these different regions result in significant SD implant pattern critical dimension variations. The large number of stack variations involved in these effects implies a complex modeling to simulate pattern degradations. This paper deals with the characterization of stack effects on 28nm node using SOI substrates. The large number of measurements allows to highlight all individual and combined stack effects. A new modeling flow has been developed in order to generate wafer stack aware OPC model. The accuracy and the prediction of the model is presented in this paper.
The resolution enhancement through lithography hardware (wavelength and Numerical Aperture) has come to a stop
putting the burden on computational lithography to fill in the resulting gap between design and process until the arrival
of EUV tools. New Computational Lithography techniques such as Optical Proximity Correction (OPC), Sub Resolution
Assist Feature (SRAF), and Lithography Friendly Design (LFD) constitute a significant transformation of the design.
These new Computational Lithography applications have become one of the most computationally demanding steps in
the design process. Computing farms of hundreds and even thousands of CPUs are now routinely used to run these
applications.
The 28nm node presents many difficulties due to low k1 lithography whereas the 20nm requires double patterning
solutions. In this paper we present a global view of enhanced RET and DFM techniques deployed to provide a robust
28nm node and prepare for 20nm.
These techniques include advanced OPC manipulation through end user IP insertion into EDA software, optimized sub
resolution assist features (SRAF) placement and pixilated OPC. These techniques are coupled with a fast litho print
check, aka LFD, for 28nm P&R.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.