Presentation + Paper
26 May 2022 Novel inline on-device measurement of silicon nitride lateral recess post channel hole ACI with IRCD metrology
Author Affiliations +
Abstract
A unique challenge has emerged in the Channel Hole process module of advanced 3D NAND manufacturing: control of the lateral silicon nitride recess post Channel Hole etch. A novel mid-infrared critical dimension (IRCD) metrology has been developed on a platform suitable for fab production. Compared traditional optical critical dimension (OCD) technology based on ultraviolet, visible, and near-IR light, the IRCD system exploits unique optical properties of common semiconductor fab materials in the mid-IR to enable accurate measurements of high-aspect-ratio (HAR) etches with high Z dimensional fidelity. Utilizing the mid-IR wavelength range, a robust and unique measurement methodology is demonstrated to measure the lateral silicon nitride recess that occurs post channel hole etch due to etch bias between silicon dioxide and silicon nitride. IRCD metrology is proven to have higher unique sensitivity for lateral silicon nitride recess than other inline non-destructive metrology techniques.
Conference Presentation
© (2022) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Nick Keller, Wenmei Ming, Zhuo Chen, G. Andrew Antonelli, Troy Ribaudo, Zhuan Liu, Sadao Takabayashi, John Hauck, Joshua Frederick, and Dan Engelhard "Novel inline on-device measurement of silicon nitride lateral recess post channel hole ACI with IRCD metrology", Proc. SPIE 12053, Metrology, Inspection, and Process Control XXXVI, 120530T (26 May 2022); https://doi.org/10.1117/12.2618035
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Silicon

Etching

Metrology

Semiconducting wafers

Diffractive optical elements

Mid-IR

Critical dimension metrology

Back to Top